<acronym id="s8ci2"><small id="s8ci2"></small></acronym>
<rt id="s8ci2"></rt><rt id="s8ci2"><optgroup id="s8ci2"></optgroup></rt>
<acronym id="s8ci2"></acronym>
<acronym id="s8ci2"><center id="s8ci2"></center></acronym>
0
  • 聊天消息
  • 系統消息
  • 評論與回復
登錄后你可以
  • 下載海量資料
  • 學習在線課程
  • 觀看技術視頻
  • 寫文章/發帖/加入社區
創作中心

完善資料讓更多小伙伴認識你,還能領取20積分哦,立即完善>

3天內不再提示

電子發燒友網>EDA/IC設計>

EDA/IC設計

電子發燒友網本欄目為EDA/IC設計專區,有豐富的EDA/IC設計應用知識與EDA/IC設計資料,可供EDA/IC行業人群學習與交流。
十大IC設計企業Q3季度營收增長17.8%

十大IC設計企業Q3季度營收增長17.8%

AMD第三季度營收增長8.2%,達到58億美元。這一成功得益于云和企業客戶廣泛采用第四代 EPYC 服務器 CPU,以及季節性筆記本電腦庫存的有利影響。...

2023-12-22 標簽:聯發科amdIC設計服務器Marvell 941

一文了解SOC的DFT策略及全芯片測試的內容

一文了解SOC的DFT策略及全芯片測試的內容

SOC ( System on Chip)是在同一塊芯片中集成了CPU、各種存儲器、總線系統、專用模塊以及多種l/O接口的系統級超大規模集成電路。 由于SOC芯片的規模比較大、內部模塊的類型以及來源多樣,因此...

2023-12-22 標簽:芯片soc芯片設計芯片測試 915

英諾達低功耗設計EDA工具全流程解決方案

英諾達低功耗設計EDA工具全流程解決方案

當IC設計的規模越來越大,功能和復雜度越來越高時,不斷增加的功耗密度,成為了阻礙高性能芯片開發的一道壁壘。...

2023-12-20 標簽:IC設計eda 288

IC設計為什么需要仿真模擬?仿真模擬的重要性有哪些?

IC設計為什么需要仿真模擬?仿真模擬的重要性有哪些? IC設計(集成電路設計)是指利用EDA工具(電子設計自動化工具)設計出滿足特定功能和性能要求的集成電路芯片。仿真模擬是IC設計過...

2023-12-19 標簽:IC設計仿真模擬集成電路芯片 1025

EDA+IP,攻克大規模數字電路設計挑戰的“不二法門”

EDA+IP,攻克大規模數字電路設計挑戰的“不二法門”

幾十年來,芯片行業一直沿著摩爾定律的步伐前行,隨著先進制程不斷推進,單位面積上集成的晶體管數量越來越多,數字電路的處理能力也越來越強。如今,又伴隨AI、大數據、云計算等一系...

2023-12-16 標簽:半導體eda數字電路 713

IC設計:Verilog是如何實現RR輪詢調度的?

在設計中,我們經常會用到RR(Round-Robin,RR)輪詢調度,用于保證在一個時間段內的多個請求信號都能得到公平響應。...

2023-12-13 標簽:寄存器IC設計Verilog 979

國產EDA如何發展?芯片行業的三大方向

首先看RISC-V。作為一個開源的芯片架構,擁有免授權費和設計簡約性等優勢的RISC-V在過去幾年于全球掀起了發展熱潮。尤其是進入最近幾年,在地緣政治的影響下,RISC-V的崛起勢頭更猛。...

2023-12-13 標簽:芯片edaAIchipletChatGPT 308

對話思爾芯CEO林俊雄:國產EDA的二十年是堅守,也是突破

對話思爾芯CEO林俊雄:國產EDA的二十年是堅守,也是突破

“直到2018年中興事件引發熱議,我的父母才通過新聞了解到這個領域。他們問我,‘你是在做EDA(電子設計自動化)嗎?’我回答說,‘是啊,我都已經做了15年了?!彼紶栃镜膭撌既?、董事...

2023-12-13 標簽:芯片eda電子設計思爾芯 712

關于芯片量產工程師需要掌握的知識概覽

關于芯片量產工程師需要掌握的知識概覽

前道是指晶圓制造廠的加工過程,在空白的硅片完成電路的加工,出廠產品依然是完整的圓形硅片。 后道是指封裝和測試的過程,在封測廠中將圓形的硅片切割成單獨的芯片顆粒,完成外殼...

2023-12-12 標簽:IC測試芯片設計晶圓制造數字芯片高速總線 1399

國產EDA如何發展?思爾芯這樣看!

國產EDA如何發展?思爾芯這樣看!

歷經多年的發展,全球EDA市場基本上被Synopsys、Cadence和西門子EDA這三大巨頭所壟斷,這對有著國產替代迫切需求的本土EDA行業來說無疑是一個巨大挑戰。思爾芯S2C副總裁陳英仁先生在早前舉辦的...

2023-12-08 標簽:芯片eda思爾芯 784

用“視覺”確保品質——IC芯片質量檢測

用“視覺”確保品質——IC芯片質量檢測

機器視覺檢測作為產品質量檢測的重要手段,能夠有效解決人工所不能解決的問題,提高成品合格率,盡可能地避免消費者使用過程中可能出現的質量問題,幫助企業提高產品質量,提升品牌形...

2023-12-08 標簽:機器視覺檢測IC芯片芯片檢測 721

芯片設計公司睿思芯科加入deepin開源社區 共同推進RISC-V生態繁榮

芯片設計公司睿思芯科加入deepin開源社區 共同推進RISC-V生態繁榮

近日,睿思芯科與deepin(深度)社區簽署了CLA(Contributor License Agreement,貢獻者許可協議),正式宣布加入deepin(深度)社區。 作為一家專注于RISC-V芯片設計開發的公司,睿思芯科始終致力于為...

2023-12-05 標簽:芯片設計開源RISC-V睿思芯科 733

數字前端生存指南—RTL

數字前端生存指南—RTL

在數字前端領域,RTL幾乎與“設計代碼”概念相同。...

2023-12-04 標簽:寄存器EDA工具RTL狀態機EDA工具RTL乘加器寄存器狀態機 4827

數字前端生存指南—PPA

數字前端生存指南—PPA

PPA是數字IC設計逃不開的概念,分別是P(Performance)、P(Power)和A(Area),分別代表芯片的性能、功耗和面積。...

2023-12-04 標簽:IC設計MPWPPAESP32RSIC-V 1740

芯華章與芯擎科技合作助力大規??s短產品上市周期

? 12月4日,系統級驗證EDA解決方案提供商芯華章,與國產高端車規芯片設計公司芯擎科技正式建立戰略合作。雙方強強聯手,芯擎科技導入芯華章相關EDA驗證工具,賦能車規級芯片和應用軟件的...

2023-12-04 標簽:eda智能車載芯華章車規芯片 1146

三星突破4nm制程良率瓶頸,臺積電該有危機感了

三星突破4nm制程良率瓶頸,臺積電該有危機感了

三星已將4nm制程良率提升到了70%左右,并重點在汽車芯片方面尋求突破。特斯拉已經將其新一代FSD芯片交由三星生產,該芯片將用于特斯拉計劃于3年后量產的Hardware 5(HW 5.0)計算機。...

2023-12-01 標簽:英特爾臺積電晶圓代工AI芯片三星 1189

AI芯片設計熱潮助推新思科技Q4營收強勁增長 全年股價飆升73%

AI芯片設計熱潮助推新思科技Q4營收強勁增長 全年股價飆升73%

11月29日,全球EDA第一大公司新思科技在美股盤后公布的財報,2023會計年度第四季(截至2023年10月31日),營收年增25%至15.99億美元,優于財測區間15.67億至15.97億美元、華爾街此前預期的15.85億美...

2023-11-30 標簽:微軟eda新思科技AI芯片 1579

IC設計業市場疲軟,臺積電成熟制程屈服降價

晶圓代工成熟制程廠商面臨產能利用率六成保衛戰,聯電、世界先進和力積電等指標廠為搶救產能利用率,大砍明年首季報價,幅度達兩位數百分比,項目客戶降幅更高達15%-20%。...

2023-11-28 標簽:微控制器臺積電IC設計晶圓代工電源管理IC 163

連AMD 7900 XT也未能幸免?4090之后,戴爾在中國禁售多款顯卡

連AMD 7900 XT也未能幸免?4090之后,戴爾在中國禁售多款顯卡

電子發燒友網報道(文/梁浩斌)近日,從戴爾推出的銷售咨詢指南中了解到,其搭載AMD Radeon和Instinct系列GPU的產品將在包括中國在內的23個國家和地區禁售,包括面向游戲、消費市場的RX 7900 ...

2023-11-27 標簽:戴爾顯卡AMD芯片 3158

聊聊芯片設計有哪些活要做?

芯片設計這個行當,從大的方面講,主要分模擬和數字兩大塊,而每大塊又分前端和后端,我想大部分同學對這個肯定是非常清楚的,下面就數字電路聊聊芯片設計的一些事情,就是芯片設計有...

2023-11-25 標簽:寄存器芯片設計RTLSoC系統電源管理芯片 806

新技術浪潮與變革下,國產EDA如何破局?

新技術浪潮與變革下,國產EDA如何破局?

眾所周知,芯片是現代科技的核心關鍵和技術底座。...

2023-11-25 標簽:集成電路半導體物聯網edaRISC-V 756

RISC-V將如何顛覆EDA行業?

有了RISC-V,很多人的目標就更嚴格了。如何優化處理器內核以執行特定任務。通過適當的分析水平,他們可以將其整體指標(無論是面積、速度、功耗還是它們的組合)與使用標準組件或 IP 以...

2023-11-24 標簽:處理器芯片eda晶體管RISC-V 206

如何助力擺脫芯片中的熱量

隨著晶體管密度的增加,這變得更加困難?!按蠖鄶等硕伎梢愿淖儗щ娐窂?,”Cadence 多物理場系統分析小組攝氏熱求解器產品工程師 Karthick Gopalakrishnan 說?!坝锌赡芨倪M材料和設計本身,通過...

2023-11-22 標簽:芯片設計晶體管數據中心BGA射頻電路 489

芯片設計復雜性處理之層次結構概念分析

芯片設計復雜性處理之層次結構概念分析

 考慮當今使用的層次結構形式的最簡單方法是要求工程師從概念上設計一個系統。他們可能會開始繪制一個包含大塊的框圖,其中包含 CPU、編碼器、顯示子系統等標簽。這不是一個功能層次...

2023-11-22 標簽:編碼器電路板cpu芯片設計晶體管 467

探討國內后端及制造端EDA產業的機會與挑戰

芯片復雜度越來越高,芯片設計企業需要與晶圓廠在早期進行深度合作。在這個過程中,涉及到了芯片設計、晶圓廠、EDA等多個環節的協同工作。DTCO(Design Technology Co-optimization)的出現為多環...

2023-11-21 標簽:晶圓芯片設計eda 223

芯片設計中半雙工和全雙工數據傳輸的區別

在現代通信技術中,半雙工和全雙工數據傳輸是兩種常見的數據傳輸方式。本文將為大家詳細解析這兩種傳輸方式在芯片設計中的應用和區別,幫助大家更好地理解芯片設計中的通信原理。...

2023-11-19 標簽:數據傳輸發射器無線通信芯片設計光纖通信 437

四種IC封裝設計的特點與用途

四種IC封裝設計的特點與用途

DIP是很多中小規模集成電路喜歡采用的封裝形式,其引腳數一般不超過100個。采用DIP封裝的CPU芯片有兩排引腳,在使用時,需要插入到具有DIP結構的芯片插座上,也可以直接插在電路板上進行焊...

2023-11-17 標簽:IC設計IC測試芯片制造IC封裝DIP 374

DigiKey 宣布與超低功耗 IC 供應商 Ambiq 建立全球合作伙伴關系

DigiKey 宣布與超低功耗 IC 供應商 Ambiq 建立全球合作伙伴關系

全球供應品類豐富、發貨快速的現貨技術元器件和自動化產品領先商業分銷商DigiKey,今日宣布與?Ambiq?合作向全球分銷超低功耗半導體產品。 DigiKey 與 Ambiq 合作,提供低功耗 IC 解決方案,包括...

2023-11-17 標簽:ICDigiKey 164

IC設計:RAM的應用-統計計數

IC設計:RAM的應用-統計計數

在進行模塊設計時,我們經常需要統計報文的數量,以供軟件(or 主機)讀取,有些統計僅僅用于debug,有些統計是協議要求,有些統計是為了便于功能實現。...

2023-11-17 標簽:IC設計RAM 459

國微芯EDA重磅發布多款自研數字EDA工具及軟件系統!

國微芯EDA重磅發布多款自研數字EDA工具及軟件系統!

芯天成版圖集成工具EsseDBScope,是基于國微芯EDA統一數據底座研發的標志性工具,本次推出的更新版本,新增了IP merge、LVL、Signal tracing、PG Find short等功能。...

2023-11-16 標簽:寄存器eda晶圓制造 228

編輯推薦廠商產品技術軟件/工具OS/語言教程專題

亚洲欧美日韩精品久久_久久精品AⅤ无码中文_日本中文字幕有码在线播放_亚洲视频高清不卡在线观看
<acronym id="s8ci2"><small id="s8ci2"></small></acronym>
<rt id="s8ci2"></rt><rt id="s8ci2"><optgroup id="s8ci2"></optgroup></rt>
<acronym id="s8ci2"></acronym>
<acronym id="s8ci2"><center id="s8ci2"></center></acronym>