<acronym id="s8ci2"><small id="s8ci2"></small></acronym>
<rt id="s8ci2"></rt><rt id="s8ci2"><optgroup id="s8ci2"></optgroup></rt>
<acronym id="s8ci2"></acronym>
<acronym id="s8ci2"><center id="s8ci2"></center></acronym>
0
  • 聊天消息
  • 系統消息
  • 評論與回復
登錄后你可以
  • 下載海量資料
  • 學習在線課程
  • 觀看技術視頻
  • 寫文章/發帖/加入社區
會員中心
創作中心

完善資料讓更多小伙伴認識你,還能領取20積分哦,立即完善>

3天內不再提示

電子發燒友網>EDA/IC設計>

EDA/IC設計

電子發燒友網本欄目為EDA/IC設計專區,有豐富的EDA/IC設計應用知識與EDA/IC設計資料,可供EDA/IC行業人群學習與交流。
中微電科技喜獲2023年度硬核芯評選“最具潛力IC設計企業獎”

中微電科技喜獲2023年度硬核芯評選“最具潛力IC設計企業獎”

在本次評選中,深圳中微電科技有限公司從165家企業中脫穎而出,斬獲“2023年度最具潛力IC設計企業獎”。...

2023-11-01 標簽:半導體IC中微電科技 764

航芯榮獲2023年度硬核芯之“最具創新精神IC設計企業獎”

航芯榮獲2023年度硬核芯之“最具創新精神IC設計企業獎”

010月30日,由《芯師爺》主辦的“第五屆硬核芯生態大會暨評選頒獎盛典”在深圳圓滿落幕。在“2023年度硬核芯”評選中,航芯憑借對芯片技術的持續創新與研發投入,從眾多優秀的企業中脫穎...

2023-11-01 標簽:芯片IC上海航芯 520

新思科技與Arm持續深化合作,加速先進節點定制芯片設計

全球領先的新思科技IP解決方案和AI驅動型EDA全面解決方案與“Arm全面設計”相結合,大幅加速復雜SoC設計的上市時間 摘要: 新思科技加入“Arm全面設計”(Arm Total Design)生態系統并提供IP和芯...

2023-11-01 標簽:ARM新思科技 166

英諾達發布RTL級功耗分析工具,助推IC高能效設計

(摘要:英諾達發布了自主研發的EnFortius?凝鋒?RTL級功耗分析工具,可以在IC設計流程早期對電路設計進行優化。) (2023年11月1日,四川成都)英諾達(成都)電子科技有限公司發布了EnFort...

2023-11-01 標簽:IC英諾達 186

芯片設計流程基礎知識入門

芯片設計流程基礎知識入門

芯片近些年來一直是風口,幾乎所有有實力的上市公司都要蹭下這個熱度:自研芯片。...

2023-11-01 標簽:EDA工具芯片設計SoC設計SPECASIC芯片 1790

芯片開發到底有多難?芯片設計的四個步驟

芯片開發到底有多難?芯片設計的四個步驟

由于導線本身存在的電阻,相鄰導線之間的互感,耦合電容在芯片內部會產生信號噪聲,串擾和反射。這些效應會產生信號完整性問題,導致信號電壓波動和變化,如果嚴重就會導致信號失真錯...

2023-11-01 標簽:asic電路板芯片設計eda時鐘樹 1044

英諾達發布RTL級功耗分析工具助推IC高能效設計

英諾達發布了自主研發的EnFortius?凝鋒?RTL級功耗分析工具,可以在IC設計流程早期對電路設計進行優化。...

2023-11-01 標簽:LPCIC設計EDA工具RTL英諾達 426

IC設計中Linux shell的選擇和使用

IC設計中Linux shell的選擇和使用

你很可能正在使用bash,也可能是csh。你可能聽說過sh、tcsh。如果你安裝過EDA,就知道某EDA要正常工作必須要裝ksh。另外你可能還聽某大神安利過zsh...

2023-10-31 標簽:IC設計LinuxShellBash 573

封裝推拉力測試機解決設計使測試變困難的問題

封裝推拉力測試機解決設計使測試變困難的問題

芯片的開發與進步構成尺寸和厚度的變化。最新的晶元封裝設計需要推棧芯片或硅粘合到硅上,這會導致組件彼此的形狀及其粘合強度發生變化。三種設計使測試變得困難:讓測試變得so easy,...

2023-10-30 標簽:芯片測試封裝 556

ATE如何測試PLL

ATE如何測試PLL

兩種方法: 時域和頻域測試,如下: ?方法1. ATE time measurement unit ( TMU)測試。(時域,需要額外的TMU license,因此常不采用。) 實施步驟:ATE 構造TMU TASK。TMU可以非常高精度地根據task設置...

2023-10-30 標簽:測試ICpllATE 601

DFT如何產生PLL 測試pattern

DFT如何產生PLL 測試pattern

DFT PLL向量,ATE怎么用? 自動測試設備(ATE)對PLL(鎖相環)進行測試時,我們首先要明白PLL在系統級芯片(SoC)中的重要性。它是SoC中關鍵的時鐘或信號同步部件,其性能直接影響到芯片邏輯...

2023-10-30 標簽:芯片測試ICpllDFT 897

如何解決電壓測試遇到的問題

如何解決電壓測試遇到的問題

在IC的測試中,電壓的測試是所有測試參數中最為常見的一種參數,尤其是模擬芯片的測試,電壓測試更顯常見及重要,如:LDO、LED驅動、音頻功放、運放、馬達驅動等很多類型的模擬芯片都含...

2023-10-30 標簽:芯片測試IC電壓 524

測試平臺的建立方法

測試平臺的建立方法

(1)DUT模型的建立 ?① 164245模型:在Modelsim工具下用Verilog HDL語言[5],建立164245模型。164245是一個雙8位雙向電平轉換器,有4個輸入控制端:1DIR,1OE,2DIR,2OE;4組8位雙向端口:1A,1B,2A,...

2023-10-30 標簽:測試IC模型DUT 471

測試向量是什么意思

測試向量是什么意思

測試向量及其生成 測試向量(Test Vector)的一個基本定義是:測試向量是每個時鐘周期應用于器件管腳的用于測試或者操作的邏輯1和邏輯0數據。 這一定義聽起來似乎很簡單,但在真實應用中則...

2023-10-30 標簽:測試IC測量ATE 1726

ic測試是什么意思

ic測試是什么意思

IC測試原理 IC 測試是指依據被測器件(DUT)特點和功能,給DUT提供測試激勵(X),通過測量DUT 輸出響應(Y)與期望輸出做比較,從而判斷DUT是否符合格。圖1所示為IC測試的基本原理模型。 根...

2023-10-30 標簽:測試IC測量 1409

通用測試系統硬件和軟件架構介紹

通用測試系統硬件和軟件架構介紹

通用測試系統架構 通用化自動測試系統(ATS)主要由圖1所示的三個部分組成: ?主控計算機、 其中“主控計算機”中的軟件主要包括操作系統、編譯器、測試執行程序(TP)。 ?總線儀器測...

2023-10-30 標簽:測試IC軟件儀器ATS 777

ATE/ATS內部結構簡介

ATE/ATS內部結構簡介

ATE/ATS:自動測試設備/自動測試系統,也稱測試機是測試工程師在IC測試中必須使用的工具,本文主要從技術層面對ATE/ATS的組成及軟硬件及其接口要求進行了簡明扼要的論述,以便測試工程師了...

2023-10-30 標簽:測試IC儀器ATE系統 791

NRK3303芯片丨助力智能臺燈實現語音控制

NRK3303芯片丨助力智能臺燈實現語音控制

臺燈是日常生活中一種常見的照明產品。以往的臺燈大多都是采取手動控制,通過按鍵去對臺燈的亮度進行調整。隨著科技的發展,臺燈也開始走向了智能化。人們已經能夠對智能臺燈進行語音...

2023-10-28 標簽:芯片語音控制智能臺燈 480

芯片設計到底難在哪里?

芯片設計到底難在哪里?

在這五年中,通過與數以百計的芯片公司客戶以及廠商的探討交流、實踐與協作,摩爾精英IT/CAD業務不斷升級迭代,突破了之前一個封閉的芯片公司內部IT管理視角的局限性,而是能從行業發展...

2023-10-27 標簽:芯片eda晶圓制造半導體行業摩爾精英 292

芯片封裝:向上堆疊,翻越內存墻

芯片封裝:向上堆疊,翻越內存墻

AMD 在代號 Milan-X 的 EPYC 7003X 系列處理器上應用了第一代 3D V-Cache 技術。這些處理器采用 Zen 3 架構核心,每片 Cache(L3 Cache Die,簡稱 L3D)為 64MB 容量,面積約 41mm2,采用 7nm 工藝制造...

2023-10-27 標簽:處理器amdgpusram芯片封裝 350

霍爾開關的分類以及如何選型

霍爾開關的分類以及如何選型

霍爾開關通常分為三大類:單極霍爾開關、雙極鎖存霍爾開關、全極霍爾開關單極霍爾元件只感應磁鐵某一個磁極,對于TO-92S封裝,絕大多數單極霍爾IC對S極敏感,即當S極靠近有標記面時,霍...

2023-10-25 標簽:傳感器元件霍爾開關 787

思爾芯總裁林鎧鵬與業界共話AI與EDA云新趨勢

思爾芯總裁林鎧鵬與業界共話AI與EDA云新趨勢

9月18日,由EDA2主辦的首屆IDAS設計自動化產業峰會在武漢中國光谷科技會展中心隆重開幕。思爾芯總裁林鎧鵬先生被特邀作為圓桌討論嘉賓,與其他業界專家一同探索未來EDA新趨勢。作為數字...

2023-10-21 標簽:edaAI思爾芯 1027

電源升壓芯片FP6296在磨甲機中的應用

電源升壓芯片FP6296在磨甲機中的應用

點擊藍字關注我們磨甲機是現代生活中的一種常見工具,它的運用廣泛且具有諸多優點。本文將詳細介紹磨甲機的日常運用及工作原理,幫助大家更好地了解這一實用工具。壹磨甲機基本組成磨...

2023-10-21 標簽:電源芯片電機 439

SOP8封裝 NV400F的語音芯片在電動車充電樁的應用

SOP8封裝 NV400F的語音芯片在電動車充電樁的應用

充電樁作為電動汽車的配套設施,為其提供充電服務,功能類似加油站里的加油機。一般安裝在公共建筑和居民小區內供電動車車主使用。隨著國家對節能減排和保護環境越來也重視。在城市中...

2023-10-21 標簽:電動車封裝語音芯片封裝電動車語音芯片 394

西門子布宣布與臺積電攜手優化芯片設計過程

用于集成電路(IC)驗證sign-off的Calibre nmPlatform工具現已獲得臺積電的N2工藝認證,可為早期采用臺積電N2工藝技術的廠商提供全面支持。...

2023-10-20 標簽:集成電路臺積電西門子芯片設計eda 161

思必馳:離線語音識別芯片簡介

思必馳:離線語音識別芯片簡介

一.使用場景夏天某個涼爽的早晨,當你躺在床上玩著手機,突然一陣困意襲來,原來已經中午了,此時你一個側身準備休息,突然發現一陣酷熱襲來,你定睛一看,原來是風扇沒有打開,這個...

2023-10-19 標簽:語音識別語音芯片語音控制語音模塊智能語音芯片 446

中微電榮獲第十五屆中國深圳創新創業大賽行業決賽三等獎

中微電榮獲第十五屆中國深圳創新創業大賽行業決賽三等獎

中微電科技“高性能自主安全 GPU 芯片‘南風一號’”項目榮獲三等獎,從七千多個參賽項目中脫穎而出,成功晉級中國創新創業大賽。...

2023-10-19 標簽:芯片gpu中微電科技gpu中微電科技芯片 793

亞信AX88179B新品亮相:即刻體驗無需驅動的USB以太網連網

亞信AX88179B新品亮相:即刻體驗無需驅動的USB以太網連網

亞信電子推出最新一代免趨動(Driverless)USB千兆以太網芯片—【AX88179BUSB3.2Gen1轉千兆以太網控制芯片】,提供客戶一個即插即用(PlugandPlay)的USB轉千兆以太網芯片解決方案,無需煩人的驅動程...

2023-10-19 標簽:芯片以太網usb 655

思爾芯出席Arm Tech Symposia,創新解決方案助力Arm架構前端驗證

思爾芯出席Arm Tech Symposia,創新解決方案助力Arm架構前端驗證

ArmTechSymposia年度技術大會再次啟動,今年的規模更為擴大,覆蓋了亞太地區的四大市場及七大城市。思爾芯,作為數字EDA領域的知名供應商,受邀參加此次技術大會,分別在臺北、東京、深圳、...

2023-10-18 標簽:ARM架構思爾芯 475

中微電榮獲第八屆“創客中國”深圳市中小企業創新創業大賽二等獎

中微電榮獲第八屆“創客中國”深圳市中小企業創新創業大賽二等獎

中微電科技“高性能自主安全 GPU 芯片”項目榮獲二等獎,并成功晉級全國賽,與來自全國各地的500強精英企業、團隊共同角逐第八屆“創客中國”中小企業創新創業大賽。...

2023-10-17 標簽:芯片gpu中微電科技 607

編輯推薦廠商產品技術軟件/工具OS/語言教程專題

亚洲欧美日韩精品久久_久久精品AⅤ无码中文_日本中文字幕有码在线播放_亚洲视频高清不卡在线观看
<acronym id="s8ci2"><small id="s8ci2"></small></acronym>
<rt id="s8ci2"></rt><rt id="s8ci2"><optgroup id="s8ci2"></optgroup></rt>
<acronym id="s8ci2"></acronym>
<acronym id="s8ci2"><center id="s8ci2"></center></acronym>