<acronym id="s8ci2"><small id="s8ci2"></small></acronym>
<rt id="s8ci2"></rt><rt id="s8ci2"><optgroup id="s8ci2"></optgroup></rt>
<acronym id="s8ci2"></acronym>
<acronym id="s8ci2"><center id="s8ci2"></center></acronym>
0
  • 聊天消息
  • 系統消息
  • 評論與回復
登錄后你可以
  • 下載海量資料
  • 學習在線課程
  • 觀看技術視頻
  • 寫文章/發帖/加入社區
創作中心

完善資料讓更多小伙伴認識你,還能領取20積分哦,立即完善>

3天內不再提示

電子發燒友網>可編程邏輯>PLD開發板>

PLD開發板

電子發燒友網PLD開發板欄目提供全球最前沿最新xilinx fpga開發板,fpga開發板電路圖,二手fpga開發板,altera fpga 開發板等FPGA開發設計技術所需的所有最新行業新聞、產品信息及技術熱點方案及介紹。
基于FPGA的OLED動態顯示(溫濕度實時數據)

基于FPGA的OLED動態顯示(溫濕度實時數據)

從視頻中,可以看到,當手指捏住傳感器后,OLED屏上的溫濕度數據發生變化。...

2023-06-19 標簽:dspfpgaOLED傳感器動態顯示 1425

小眼睛FPGA盤古50K開發板概述

小眼睛FPGA盤古50K開發板概述

小眼睛FPGA盤古50K開發板概述 盤古-50開發板(MES50H P)采用了核心板+擴展板的結構,核心板與擴展板之間 使用高速板對板連接器進行連接。核心板主要由FPGA+2顆DDR3 + Flash+電源及復位構成,承擔...

2023-06-14 標簽:fpga小眼睛科技開發板 701

智慧醫療變革 AI加持實現更快速準確的判斷 醫學影像的未來方向

智慧醫療變革 AI加持實現更快速準確的判斷 醫學影像的未來方向

新冠疫情已基本宣告結束,但其給醫療系統帶來的巨大沖擊,仍值得我們深思。除了這種大規模突發性傳染病帶來的意外影響外,醫療系統還面臨著整個人類社會長期人口老齡化帶來的就診量增...

2023-05-11 標簽:AIMRIXilinx智慧醫療貿澤電子 551

FPGA教程:通過Mojo開發板介紹FPGA

FPGA教程:通過Mojo開發板介紹FPGA

迄今為止,我們的嵌入式系統教程和項目已經使用了多塊微控制器開發板,比如不同型號的Arduino微控制器板。...

2023-02-27 標簽:ArduinoEmbeddedfpga開發板微控制器 3717

ALINX紫光同創國產FPGA開發板PGL22G發布

ALINX紫光同創國產FPGA開發板PGL22G發布

由ALINX聯合紫光同創共同推出的logos系列PGL22G開發板正式發布了,這款板卡是利用核心板+擴展板的方式設計,充分利用了芯片有效資源幫助工程師進行前期的芯片功能驗證,芯片支持DDR3,有用足...

2021-04-08 標簽:fpgaHDMI串口開發板紫光同創 13690

ALINX紫光同創國產FPGA開發板PGL12G發布

ALINX紫光同創國產FPGA開發板PGL12G發布

由ALINX聯合紫光同創共同推出的logos系列PGL12G開發板正式發布了,這款板卡充分利用了有效資源幫助工程師進行前期的芯片功能驗證,也可以讓學生群里進行入門級的學習,了解國產FPGA的芯片開...

2021-04-09 標簽:fpgaHDMI串口開發板紫光同創 12257

【紫光同創國產FPGA教程】【第二十七章】千兆以太網視頻傳輸實驗

【紫光同創國產FPGA教程】【第二十七章】千兆以太網視頻傳輸實驗

本原創教程由芯驛電子科技(上海)有限公司(ALINX)創作,版權歸本公司所有,如需轉載,需授權并注明出處( http://www. alinx.com )。 適用于板卡型號: PGL22G 1. 簡介 本實驗將實現視頻圖像的...

2021-04-12 標簽:fifofpga以太網攝像頭紫光同創 12845

ACU2CG MPSOC核心板發布 Xilinx Zynq UltraScale+MPSoC再添一員

ACU2CG MPSOC核心板發布 Xilinx Zynq UltraScale+MPSoC再添一員

Zynq? UltraScale+? MPSoC 器件不僅提供 64 位處理器可擴展性,同時還將實時控制與軟硬件引擎相結合,支持圖形、視頻、波形與數據包處理。三個不同變體包括雙應用處理器 (CG) 器件、四核應用處...

2021-03-22 標簽:FinFETMPSoCXilinxZynq核心板 13824

【紫光同創國產FPGA教程】【第二十二章】RTC時間實驗

【紫光同創國產FPGA教程】【第二十二章】RTC時間實驗

RTC(Real-Time Clock)實時時鐘為系統提供一個可靠的時間,并且在斷電的情況下,RTC實時時鐘也可以通過電池供電,一直運行下去。RTC通過類SPI總線向FPGA傳送8位數據(BCD碼)。數據包括秒,分,小...

2021-03-10 標簽:DS1302fpgaRTC時鐘紫光同創 15271

【紫光同創國產FPGA教程】【第二十一章】AD9767雙通道三角波產生例程

例程中提供了AN9767模塊的DA測試程序,通過AN9767模塊來實現三角波信號的輸出。 三角波測試程序是通過在FPGA中產生一個計數模塊,然后把計數模塊的數據輸出給AN9767模塊進行數模的轉換,從而...

2021-03-10 標簽:fpga三角波數模轉換器示波器紫光同創 11239

【紫光同創國產FPGA教程】【第二十章】AD9767雙通道正弦波產生例程

【紫光同創國產FPGA教程】【第二十章】AD9767雙通道正弦波產生例程

采用ANALOG DEVICES公司的AD9767芯片,支持獨立雙通道、14位、125MSPS的數模轉換。在教程中介紹了利用該模塊與FPGA開發板相連輸出雙通道14位的正弦波,然后通過示波器查看把輸出的正弦波的波形。...

2021-03-10 標簽:adcADCfpga數模轉換正弦波紫光同創 13496

【紫光同創國產FPGA教程】【第十八章】AD實驗之AD7606波形顯示

【紫光同創國產FPGA教程】【第十八章】AD實驗之AD7606波形顯示

本實驗練習使用ADC,實驗中使用的ADC模塊型號為AN706,最大采樣率200Khz,精度為16位。實驗中把AN706的2路輸入以波形方式在HDMI上顯示出來,我們可以用更加直觀的方式觀察波形,是一個數字示波...

2021-02-25 標簽:ADAD7606fpga示波器紫光同創 8719

【紫光同創國產FPGA教程】【第十七章】AD實驗之AD9238波形顯示

【紫光同創國產FPGA教程】【第十七章】AD實驗之AD9238波形顯示

本實驗練習使用ADC,實驗中使用的ADC模塊型號為AN9238,最大采樣率65Mhz,精度為12位。實驗中把AN9238的2路輸入以波形方式在HDMI上顯示出來,我們可以用更加直觀的方式觀察波形,是一個數字示波...

2021-02-24 標簽:adcADCfpga數字示波器波形顯示紫光同創 7817

【FPGA ZYNQ Ultrascale+ MPSOC教程】33.BRAM實現PS與PL交互

【FPGA ZYNQ Ultrascale+ MPSOC教程】33.BRAM實現PS與PL交互

有時CPU需要與PL進行小批量的數據交換,可以通過BRAM模塊,也就是Block RAM實現此要求。本章通過Zynq的GP Master接口讀寫PL端的BRAM,實現與PL的交互。在本實驗中加入了自定義的FPGA程序,并利用A...

2021-02-22 標簽:BRAMcpufpgaMPSoCZynq 7260

【紫光同創國產FPGA教程】【第十五章】OV5640攝像頭顯示例程

【紫光同創國產FPGA教程】【第十五章】OV5640攝像頭顯示例程

OV5640的寄存器配置是通過FPGA的I2C(也稱為SCCB接口)接口來配置。用戶需要配置正確的寄存器值讓OV5640輸出我們需要的圖像格式,實驗中我們把攝像頭輸出分辨率和顯示設備分辨率配置成一樣的...

2021-02-22 標簽:fpgaOV5640攝像頭紫光同創視頻 11076

【紫光同創國產FPGA教程】【第十四章】SD卡讀取BMP圖片顯示例程

【紫光同創國產FPGA教程】【第十四章】SD卡讀取BMP圖片顯示例程

本原創教程由芯驛電子科技(上海)有限公司(ALINX)創作,版權歸本公司所有,如需轉載,需授權并注明出處( http://www. alinx.com )。 1. 實驗簡介 在前面的實驗中我們練習了SD卡讀寫,HDMI視頻...

2021-02-20 標簽:BMPfpgaHDMISD卡紫光同創 7264

【紫光同創國產FPGA教程】【第十三章】字符顯示實驗

【紫光同創國產FPGA教程】【第十三章】字符顯示實驗

在HDMI測試實驗中講解了HDMI顯示原理和顯示方式,本實驗介紹如何使用FPGA實現字符顯示,通過這個實驗更加深入的了解HDMI的顯示方式。...

2021-02-19 標簽:fpgaHDMIROM字符紫光同創 6148

【紫光同創國產FPGA教程】【第十二章】SD卡音樂播放例程

【紫光同創國產FPGA教程】【第十二章】SD卡音樂播放例程

WAV文件作為多媒體中使用的聲波文件格式之一,它是以RIFF格式為標準的。RIFF是英文Resource Interchange File Format的縮寫,每個WAV文件的頭四個字節便是“RIFF”,所以本實驗就簡單的以每個扇區的前...

2021-02-19 標簽:fpgaSD開發板紫光同創音頻 6992

【紫光同創國產FPGA教程】【第十一章】錄音與播放例程

【紫光同創國產FPGA教程】【第十一章】錄音與播放例程

開發板通過40PIN的擴展口和AN831音頻模塊連接,AN831音頻模塊使用WOLFSON公司的WM8731芯片實現聲音信號的A/D和D/A轉換功能。以下為AN831音頻模塊的硬件電路。...

2021-02-19 標簽:fpga開發板電路紫光同創音頻 7206

【紫光同創國產FPGA教程】【第十章】DDR3讀寫測試實驗

【紫光同創國產FPGA教程】【第十章】DDR3讀寫測試實驗

本實驗為后續使用DDR3內存的實驗做鋪墊,通過循環讀寫DDR3內存,了解其工作原理和DDR3控制器的寫法,由于DDR3控制復雜,控制器的編寫難度高,這里筆者介紹采用第三方的DDR3 IP控制器情況下的...

2021-02-05 標簽:DDRDDR3fpga內存紫光同創 7986

【紫光同創國產FPGA教程】【第九章】HDMI編程測試實驗

【紫光同創國產FPGA教程】【第九章】HDMI編程測試實驗

HDMI做為視頻輸出輸入接口已經廣泛使用很長時間,主要通過TMDS差分編碼傳輸。本實驗通過在HDMI屏幕上顯示彩條,來練習視頻的時序和視頻顏色的表示,為后面視頻處理實驗做個基礎。...

2021-02-05 標簽:fpgaHDMITMDS紫光同創編程 6933

【紫光同創國產FPGA教程】【第八章】SD卡讀寫實驗

【紫光同創國產FPGA教程】【第八章】SD卡讀寫實驗

SD卡是現在嵌入式設備重要的存儲模塊,內部集成了nand flash控制器,方便了主機的的管理。本實驗主要是練習對sd卡的扇區進行讀寫,通常sd卡都有文件系統,可以按照文件名和目錄路徑來讀寫...

2021-02-05 標簽:fpgaSD卡SPI嵌入式紫光同創 7639

【紫光同創國產FPGA教程】【第七章】I2C接口EEPROM實驗

【紫光同創國產FPGA教程】【第七章】I2C接口EEPROM實驗

在開發板上,FPGA芯片通過I2C總線連接EEPROM 24LC04, I2C的兩根總線各上拉一個4.7K的電阻到3.3V,所以當總線上沒有輸出時會被拉高, 24LC04的寫保護沒有使能,不然FPGA會無法寫入數據。因為在電路上...

2021-02-05 標簽:EEPROMfpgaI2C接口開源紫光同創 6919

【紫光同創國產FPGA教程】【第六章】PDS下按鍵消抖實驗

【紫光同創國產FPGA教程】【第六章】PDS下按鍵消抖實驗

按鍵做為基本的人機輸入接口,在很多電子設計中都能見到,由于機械特性,在按鍵按下或松開的時候,按鍵輸入值是有抖動的,無論按下去是多平穩,都難以消除抖動,按鍵消抖方式有很多,...

2021-02-04 標簽:fpgaPDSRTL按鍵紫光同創 4955

【紫光同創國產FPGA教程】【第五章】串口收發實驗

【紫光同創國產FPGA教程】【第五章】串口收發實驗

本文主要講解如何編寫FPGA串口通信的收發程序,在程序中使用了狀態機,是學習狀態機的重要實驗。...

2021-02-04 標簽:fpgauart串口開發板紫光同創 6179

【紫光同創國產FPGA教程】【第四章】PDS下PLL實驗

【紫光同創國產FPGA教程】【第四章】PDS下PLL實驗

很多初學者看到板上只有一個50Mhz時鐘輸入的時候都產生疑惑,時鐘怎么才50Mhz?如果要工作在100Mhz、150Mhz怎么辦?在很多FPGA芯片內部都集成了PLL,其他廠商可能不叫PLL,但是也有類似的功能模...

2021-02-04 標簽:fpgaPDSpll時鐘紫光同創 6521

【紫光同創國產FPGA教程】【第三章】按鍵檢測實驗

【紫光同創國產FPGA教程】【第三章】按鍵檢測實驗

通過按鍵檢測實驗,檢測開發板的按鍵功能是否正常,了解硬件描述語言和FPGA的具體關系,學習PDS View RTL Schematic的使用。...

2021-02-02 標簽:fpgaRTL按鍵硬件描述語言紫光同創 4007

【紫光同創國產FPGA教程】【第二章】LED流水燈實驗及仿真

【紫光同創國產FPGA教程】【第二章】LED流水燈實驗及仿真

通過LED流水燈實驗,介紹使用PDS軟件開發FPGA的基本流程,器件選擇、設置、代碼編寫、編譯、分配管腳、下載、程序FLASH固化、擦除等;同時也檢驗板上LED燈是否正常。...

2021-02-02 標簽:fpgaledPDS流水燈紫光同創 7137

【ZYNQ Ultrascale+ MPSOC FPGA教程】第三十二章PL讀寫PS端DDR數據

【ZYNQ Ultrascale+ MPSOC FPGA教程】第三十二章PL讀寫PS端DDR數據

PL和PS的高效交互是zynq soc開發的重中之重,我們常常需要將PL端的大量數據實時送到PS端處理,或者將PS端處理結果實時送到PL端處理,常規我們會想到使用DMA的方式來進行,但是各種協議非常麻...

2021-01-30 標簽:DDRdmafpgaMPSoCZynq 12682

【ZYNQ Ultrascale+ MPSOC FPGA教程】第三十一章FreeRTOS實驗

【ZYNQ Ultrascale+ MPSOC FPGA教程】第三十一章FreeRTOS實驗

如何搭建Free RTOS實時操作系統運行環境,這里不深入探討Free RTOS的具體使用。本實驗以FreeRTOS Hello World做舉例,并實現兩個LED燈以不同間隔持續閃爍。...

2021-01-30 標簽:fpgaFreeRTOSledMPSoCRTOSZynq 6322

編輯推薦廠商產品技術軟件/工具OS/語言教程專題

亚洲欧美日韩精品久久_久久精品AⅤ无码中文_日本中文字幕有码在线播放_亚洲视频高清不卡在线观看
<acronym id="s8ci2"><small id="s8ci2"></small></acronym>
<rt id="s8ci2"></rt><rt id="s8ci2"><optgroup id="s8ci2"></optgroup></rt>
<acronym id="s8ci2"></acronym>
<acronym id="s8ci2"><center id="s8ci2"></center></acronym>