<acronym id="s8ci2"><small id="s8ci2"></small></acronym>
<rt id="s8ci2"></rt><rt id="s8ci2"><optgroup id="s8ci2"></optgroup></rt>
<acronym id="s8ci2"></acronym>
<acronym id="s8ci2"><center id="s8ci2"></center></acronym>
0
  • 聊天消息
  • 系統消息
  • 評論與回復
登錄后你可以
  • 下載海量資料
  • 學習在線課程
  • 觀看技術視頻
  • 寫文章/發帖/加入社區
創作中心

完善資料讓更多小伙伴認識你,還能領取20積分哦,立即完善>

3天內不再提示

電子發燒友網>可編程邏輯>FPGA/ASIC技術>

FPGA/ASIC技術

電子發燒友本欄目為FPGA/ASIC技術專欄,內容有fpga培圳資料、FPGA開發板、FPGA CPLD知識以及FPGA/ASIC技術的其它應用等;是您學習FPGA/ASIC技術的好欄目。
時序約束實操

時序約束實操

添加約束的目的是為了告訴FPGA你的設計指標及運行情況。在上面的生成約束之后,在Result àxx.sdc中提供約束參考(請注意該文件不能直接添加到工程中,需要熱復制到別的指定目錄或者新建自...

2024-04-28 標簽:FPGApll時鐘時序約束 273

國產FPGA應用專題--易靈思Efinity軟件使用心得

國產FPGA應用專題--易靈思Efinity軟件使用心得

做為FPGA的集成開發環境,不同的廠家其實大同小異。很多國產廠家,如安路,高云,會在軟件上貼近Xilinx和Intel,以節省客戶的軟件使用成本。而國產廠商的易靈思的集成開發環境Efinity似乎并...

2024-04-23 標簽:FPGA國產FPGA易靈思 383

易靈思RAM使用--Update4

易靈思RAM使用--Update4

易靈思RAM在使用時可以會遇到一些問題,這里把常用的問題總結下。 1、ram初始化文件路徑是工程路徑 在對ram進行初始化時需要指定文件路徑,這里要注意'/'的方向。 (1)如果文件放在工程目...

2024-04-23 標簽:RAM易靈思 384

3568F-FPGA案例開發手冊

3568F-FPGA案例開發手冊

3568F-FPGA案例開發手冊...

2024-04-16 標簽:FPGA創龍科技 720

gtkwave界面每次都更新太麻煩?來個小技巧-v1

gtkwave界面每次都更新太麻煩?來個小技巧-v1

迄今為止,大家都在吐槽gtkwave debug每次彈窗都會覆蓋上一次彈窗設置好的排序和參數。下面我們分享一個可以暫時規避該問題的方法,聊以慰藉久被摧殘的心~~。 (1)添加debug ,無論手動或者自...

2024-04-15 標簽:FPGAWizard 585

易靈思Jtag_bridge_loader生成-v2

易靈思Jtag_bridge_loader生成-v2

Efinity版本:2023.1及以前版本。 易靈思器通過jtag bridge燒寫flash時需要自己生成一個jtage birdge文件。jtage bridge 工程的目的是為了打通JTAG與flash的連接。 ? (1)打開IPM (2)選擇Memory Controllers -->?J...

2024-04-15 標簽:FPGAFlaShJTAG易靈思 550

易靈思FPGA flash操作原理

易靈思FPGA flash操作原理分享...

2024-04-09 標簽:FPGAFlaSh易靈思 471

FPGA廠商安路科技榮登《2024上海硬核科技企業TOP100》榜單

3月22日,2024年上海市產業技術創新大會在滬順利舉辦,現場,市產業技術創新促進會聯合市科協發布《2024上海硬核科技企業TOP100榜單》。上海安路信息科技股份有限公司再次榮登榜單。 ? 硬核...

2024-03-25 標簽:FPGA安路科技 515

中端FPGA成為主戰場,Altera獨立后的市場格局

中端FPGA成為主戰場,Altera獨立后的市場格局

電子發燒友網報道(文/周凱揚)隨著前幾年Xilinx被AMD收購,近期Altera從英特爾獨立出來,不少人都在關注FPGA市場會迎來怎樣的變局。在這個AI ASIC爆火的時代,可編程的FPGA如何找到新的增長點...

2024-03-12 標簽:FPGAamdasicAlteraLattice 3134

以自適應創新為未來“嵌入”新動能

以自適應創新為未來“嵌入”新動能

2023 年伊始,ChatGPT 以迅雷之勢席卷全球,引發了生成式 AI 熱潮。從大語言模型到內容生成、創作與藝術再到人機協作,令人振奮的創新層出不窮,AI 技術的應用場景不斷拓展。在云端訓練與推...

2024-02-18 標簽:amdAI 213

全國產T3+FPGA的SPI與I2C通信方案分享

全國產T3+FPGA的SPI與I2C通信方案分享

近年來,隨著中國新基建、中國制造2025規劃的持續推進,單ARM處理器越來越難勝任工業現場的功能要求,特別是如今能源電力、工業控制、智慧醫療等行業,往往更需要ARM + FPGA架構的處理器平...

2024-02-06 標簽:FPGA芯片寄存器SPII2C 4162

國外大學的FPGA開發項目盤點

國外大學的FPGA開發項目盤點

據我了解,目前國內很多大學是沒有開設FPGA相關課程的,所以很多同學都是自學,但是自學需要一定的目標和項目,今天我們就去看看常春藤盟校Cornell University 康奈爾大學開設的FPGA項目課程。...

2023-12-22 標簽:FPGAVerilog 410

基于FPGA的UART控制器設計

基于FPGA的UART控制器設計

串口的出現是在1980年前后,數據傳輸率是115kbps~230kbps。串口出現的初期是為了實現連接計算機外設的目的,初期串口一般用來連接鼠標和外置Modem以及老式攝像頭和寫字板等設備。串口也可以...

2023-12-04 標簽:FPGA控制器接口uart串行通信 983

國產FPGA廠商西安智多晶微電子E輪融資獲得上汽入股

9月份,西安智多晶微電子有限公司再傳好消息,智多晶微電子完成了數億人民幣的E輪融資,此次智多晶微電子的E輪融資由尚頎資本(上汽集團金融平臺上汽金控旗下私募股權投資機構)在管基...

2023-11-30 標簽:FPGA融資上汽集團智多晶微電子 958

FPGA中的邏輯運算是如何實現的?

FPGA中的邏輯運算是如何實現的?

可編程邏輯功能塊(Configurable Logic Blocks, CLB),顧名思義就是可編程的數字邏輯電路,可以實現各種邏輯功能。...

2023-10-31 標簽:FPGA存儲器可編程邏輯LUT數字邏輯電路 638

如何優化FPGA HLS設計呢?

如何優化FPGA HLS設計呢?

用工具用 C 生成 RTL 的代碼基本不可讀。以下是如何在不更改任何 RTL 的情況下提高設計性能。...

2023-10-30 標簽:ARM濾波器FPGA設計RTLHLS 618

FPGA加速卡的PCB設計注意事項

本應用筆記概述了PCI Express卡機電規范修訂版3.0定義的加速卡外形尺寸。它解決了印刷電路板 (PCB) 設計挑戰,從堆疊設計到介電材料選擇,再到 PCB 設計過程中使用的 PCB 制造技術。 該圖顯示...

2023-10-30 標簽:FPGAXilinxPCB設計FPGA加速卡 8931

Xilinx FPGA芯片內部時鐘和復位信號使用方法

Xilinx FPGA芯片內部時鐘和復位信號使用方法

如果FPGA沒有外部時鐘源輸入,可以通過調用STARTUP原語,來使用FPGA芯片內部的時鐘和復位信號,Spartan-6系列內部時鐘源是50MHz,Artix-7、Kintex-7等7系列FPGA是65MHz。...

2023-10-27 標簽:FPGA芯片時鐘源復位信號 1091

異構計算為什么會異軍突起?基于FPGA的異構計算討論

異構計算為什么會異軍突起?基于FPGA的異構計算討論

簡單的介紹幾個概念,同道中人可以忽略這一段。云計算取代傳統IT基礎設施已經基本成為業界共識和不可阻擋的趨勢。...

2023-10-26 標簽:FPGAasic晶體管cpu芯片異構計算 252

fpga的開發流程有哪些步驟?fpga和嵌入式系統的區別在哪里?

fpga的開發流程有哪些步驟?fpga和嵌入式系統的區別在哪里?

fpga和嵌入式系統的區別有哪些?小編先帶大家了解一下。 FPGA和嵌入式系統在電子信息工程領域有著不同的應用和特點。 FPGA,即現場可編程門陣列,是在PAL、GAL、CPLD等可編程器件的基礎上進一...

2023-10-24 標簽:FPGA嵌入式嵌入式系統Verilogvhdl 1521

如何創建FPGA控制的機器人手臂?

如何創建FPGA控制的機器人手臂?

機器人技術處于工業 4.0、人工智能和邊緣革命的前沿。讓我們看看如何創建 FPGA 控制的機器人手臂。...

2023-10-24 標簽:FPGA機器人人工智能伺服系統驅動電機 996

介紹一種具備RDMA功能的FPGA網卡實現方案—RNIC

介紹一種具備RDMA功能的FPGA網卡實現方案—RNIC

傳統TCP/IP技術處理數據包需通過操作系統和其他軟件層,導致數據在系統內存、處理器緩存和網絡控制器緩存間頻繁復制,增加了服務器CPU和內存的負擔,特別是在網絡帶寬、處理器速度與內存...

2023-10-22 標簽:FPGA以太網RDMAPCIe接口TCP通信 2128

FPGA引入光芯片設計是未來矩陣計算新模式?

FPGA引入光芯片設計是未來矩陣計算新模式?

FPGA現場可編程門陣列,是在硅片上預先設計實現的具有可編程特性的集成電路,它能夠按照設計人員的需求配置為指定的電路結構,不必依賴由芯片制造商設計和制造的ASIC芯片。...

2023-10-22 標簽:FPGAASIC芯片BRAM光芯片時鐘管理 225

FPGA協處理的優勢有哪些?如何去使用FPGA協處理?

FPGA協處理的優勢有哪些?如何去使用FPGA協處理?

傳統的、基于通用DSP處理器并運行由C語言開發的算法的高性能DSP平臺,正在朝著使用FPGA預處理器和/或協處理器的方向發展。這一最新發展能夠為產品提供巨大的性能、功耗和成本優勢。 盡管...

2023-10-21 標簽:FPGAXilinxVerilogC語言vhdl 1555

如果FPGA/微處理器上只剩下一個GPIO,該如何進行模擬測量?

如果FPGA/微處理器上只剩下一個GPIO,該如何進行模擬測量?

在本文中,我們將探討一種溫度-頻率轉換器,它只需要使用一個GPIO引腳即可提供準確的溫度結果。本文還將演示如何將電壓-頻率轉換器用于各種檢測應用。...

2023-10-21 標簽:FPGA微處理器VerilogGPIO亞德諾 1331

什么是數字中頻?FPGA怎么實現數字中頻?

什么是數字中頻?FPGA怎么實現數字中頻?

數字中頻顧名思義,是指一種中間頻率的信號形式。中頻是相對于基帶信號和射頻信號來講的,中頻可以有一級或多級,它是基帶和射頻之間過渡的橋梁。 如圖1所示,中頻部分用數字方式來實...

2023-10-21 標簽:FPGADDCDUC數字中頻數控振蕩器 3097

FPGA零基礎之Vivado-超聲波驅動設計

FPGA零基礎之Vivado-超聲波驅動設計

聲音是我們日常生活中不可缺少的一種信號,在傳遞信息的同時,也在生活中的各個領域有較多的應用。...

2023-10-18 標簽:驅動器FPGA設計接收器超聲波Vivado 441

基于VHDL語言用FPGA制作SPI-ASI接口轉換器

基于VHDL語言用FPGA制作SPI-ASI接口轉換器

本文在分析ASI發送系統機理的基礎之上,提出一種使用FPGA完成ASI發送系統的實現方案,并使用VHDL語言在Altara的FPGA上實現了硬件電路。 1 引言 在目前的廣播電視系統中ASI接口是使用非常廣泛的...

2023-10-18 標簽:FPGASPIASIvhdl接口轉換器 1581

你想自己設計一個FPGA IP嗎!

你想自己設計一個FPGA IP嗎!

開發和驗證 FPGA IP 不僅僅是編寫 HDL,而是需要更多的思考。讓我們來看看如何做吧!...

2023-10-16 標簽:FPGA寄存器IPRTLFPGA開發QSPI接口AXI4總線 418

如何在FPGA設計環境中加入時序約束?

如何在FPGA設計環境中加入時序約束?

在給FPGA做邏輯綜合和布局布線時,需要在工具中設定時序的約束。通常,在FPGA設計工具中都FPGA中包含有4種路徑:從輸入端口到寄存器,從寄存器到寄存器,從寄存器到輸出,從輸入到輸出的...

2023-10-12 標簽:FPGAFPGA設計時鐘時序約束 913

編輯推薦廠商產品技術軟件/工具OS/語言教程專題

亚洲欧美日韩精品久久_久久精品AⅤ无码中文_日本中文字幕有码在线播放_亚洲视频高清不卡在线观看
<acronym id="s8ci2"><small id="s8ci2"></small></acronym>
<rt id="s8ci2"></rt><rt id="s8ci2"><optgroup id="s8ci2"></optgroup></rt>
<acronym id="s8ci2"></acronym>
<acronym id="s8ci2"><center id="s8ci2"></center></acronym>