<acronym id="s8ci2"><small id="s8ci2"></small></acronym>
<rt id="s8ci2"></rt><rt id="s8ci2"><optgroup id="s8ci2"></optgroup></rt>
<acronym id="s8ci2"></acronym>
<acronym id="s8ci2"><center id="s8ci2"></center></acronym>
0
  • 聊天消息
  • 系統消息
  • 評論與回復
登錄后你可以
  • 下載海量資料
  • 學習在線課程
  • 觀看技術視頻
  • 寫文章/發帖/加入社區
會員中心
創作中心

完善資料讓更多小伙伴認識你,還能領取20積分哦,立即完善>

3天內不再提示

【ZYNQ Ultrascale+ MPSOC FPGA教程】第三十一章FreeRTOS實驗

FPGA技術專欄 ? 來源:芯驛電子科技 ? 作者:芯驛電子科技 ? 2021-01-30 10:16 ? 次閱讀

原創聲明:

本原創教程由芯驛電子科技(上海)有限公司(ALINX)創作,版權歸本公司所有,如需轉載,需授權并注明出處。

適用于板卡型號:

AXU2CGA/AXU2CGB/AXU3EG/AXU4EV-E/AXU4EV-P/AXU5EV-E/AXU5EV-P /AXU9EG/AXU15EG

實驗Vivado工程目錄為“ps_axi_gpio /vivado”。

實驗vitis工程目錄為“freertos /vitis”。

學習 ZYNQ,很大一部分都是FPGA開發人員,不太擅長使用Linux,所以我建議大家還是先使用實時操作系統或者裸機運行,這樣也有更大的靈活性。本章給大家講解如何搭建Free RTOS實時操作系統運行環境,這里不深入探討Free RTOS的具體使用。本實驗以FreeRTOS Hello World做舉例,并實現兩個LED燈以不同間隔持續閃爍。

本實驗基于 “PL端AXI GPIO的使用”工程,硬件環境不需要修改。

軟件工程師工作內容

以下為軟件工程師負責內容。

1.VITIS程序開發

1) 參考Hello World一章,新建工程,OS選擇freertos10_xilinx

v2-1fb80ff88483411de9a5738a5432c07e_720w.jpg

2)本實驗選擇FreeRTOS Hello World做舉例

pIYBAGATb-2ALLRmAAB9gWstVsM355.jpg

Hello World例子中建立了兩個任務,發送任務和接收任務,接收任務的優先級高于發送任務。并且創建隊列,由發送任務向隊列發送數據,接收任務從隊列中讀取數據并打印。例子中設置了timer,但在本實驗中刪除了timer,讓發送和接收任務一直工作。

o4YBAGATb-2ANeQ3AAB9gJ5_czQ428.jpg

3)在此基礎上增加PS和PL端LED閃爍任務,PS端閃爍間隔為100ms,PL端閃爍間隔為1S

pIYBAGATb-6AAzoDAABFVK490pc958.jpg

2. 板上驗證

1)下載界面設置,下載程序

pIYBAGATb-6AcY7CAACq-QWIQHs254.jpg

2)打開串口,正在持續打印數據

pIYBAGATb-6AcY7CAACq-QWIQHs254.jpg

3)同時也能看到開發板上LED1和LED2閃爍,直觀地體現了多任務并行處理。

3. 本章小結

相對于復雜的Linux,FreeRTOS 等實時操作系統給我們帶來更靈活更方便的開發,可以更

直接的和底層FPGA進行交互,但是FreeRTOS本身也有一點難度,要想熟練應用,還是要結

合具體的項目多加練習。

聲明:本文內容及配圖由入駐作者撰寫或者入駐合作網站授權轉載。文章觀點僅代表作者本人,不代表電子發燒友網立場。文章及其配圖僅供工程師學習之用,如有內容侵權或者其他違規問題,請聯系本站處理。 舉報投訴
  • FPGA
    +關注

    關注

    1608

    文章

    21358

    瀏覽量

    594369
  • led
    led
    +關注

    關注

    237

    文章

    22532

    瀏覽量

    646607
  • RTOS
    +關注

    關注

    20

    文章

    780

    瀏覽量

    118876
  • FreeRTOS
    +關注

    關注

    12

    文章

    474

    瀏覽量

    61442
  • Zynq
    +關注

    關注

    9

    文章

    600

    瀏覽量

    46757
  • MPSoC
    +關注

    關注

    0

    文章

    194

    瀏覽量

    24143
收藏 人收藏

    評論

    相關推薦

    如何利用ZYNQ MPSoC玩DOOM?

    賽靈思和 DornerWorks 的系統軟件團隊在賽靈思的 Zynq? Ultrascale+? MPSoC 上啟動 Xen Project 管理程序時,我們發現可通過運行當年叱詫一時的流行電子游戲
    發表于 10-09 06:21

    如何調試Zynq UltraScale+ MPSoC VCU DDR控制器

      如何調試Zynq UltraScale+ MPSoC VCU DDR控制器  Zynq UltraScale+
    發表于 01-07 16:02

    如何調試Zynq UltraScale+ MPSoC VCU DDR控制器

    如何調試 Zynq UltraScale+ MPSoC VCU DDR 控制器?
    發表于 01-22 06:29

    ZYNQ Ultrascale+ MPSOC FPGA教程

    ZYNQ Ultrascale+ MPSOC FPGA教程
    發表于 02-02 07:53

    Ti推出面向Zynq UltraScale+ MPSoC的電源參考設計

    本篇文章將與大家討論的是Xilinx Zynq UltraScale+ MPSoC的電源解決方案參考設計。
    發表于 03-14 02:24 ?3486次閱讀
    Ti推出面向<b class='flag-5'>Zynq</b> <b class='flag-5'>UltraScale+</b> <b class='flag-5'>MPSoC</b>的電源參考設計

    Xilinx基于ARM的Zynq-7000和Zynq UltraScale+ MPSoC及RFSoC器件是否存在安全漏洞

    本文試圖搞清楚在 Xilinx 基于 ARM 的 Zynq-7000、Zynq UltraScale+ MPSoCZynq
    發表于 06-28 15:53 ?2551次閱讀

    Zynq UltraScale+ MPSoC的發售消息

    Zynq?UltraScale+?MPSoC,現已開始發售。視頻向您重點介紹了Xilinx UltraScale +產品組合的第一位成員
    的頭像 發表于 11-27 06:47 ?3335次閱讀

    如何調試 Zynq UltraScale+ MPSoC VCU DDR 控制器?

    Zynq UltraScale+ MPSoC VCU DDR 控制器是一款專用 DDR 控制器,只支持在 Zynq UltraScale+
    發表于 02-23 06:00 ?15次下載
    如何調試 <b class='flag-5'>Zynq</b> <b class='flag-5'>UltraScale+</b> <b class='flag-5'>MPSoC</b> VCU DDR 控制器?

    米爾電子zynq ultrascale+ mpsoc底板外設資源清單分享

    米爾電子推出的國內首款zynq ultrascale+ mpsoc平臺核心板(及開發板):MYC-CZU3EG吸引了人工智能、工業控制、嵌入式視覺、ADAS、算法加速、云計算、有線/無線通信
    發表于 01-07 15:20 ?3次下載
    米爾電子<b class='flag-5'>zynq</b> <b class='flag-5'>ultrascale+</b> <b class='flag-5'>mpsoc</b>底板外設資源清單分享

    ZYNQ Ultrascale+ MPSoC系列FPGA芯片設計

    基于 Xilinx 公司ZYNQ Ultrascale+ MPSoC系列 FPGA 芯片設計,應用于工廠自動化、機器視覺、工業質檢等工業領域
    發表于 11-02 14:35 ?1253次閱讀

    Zynq UltraScale+ MPSoC中的隔離方法

    電子發燒友網站提供《Zynq UltraScale+ MPSoC中的隔離方法.pdf》資料免費下載
    發表于 09-13 17:11 ?1次下載
    <b class='flag-5'>Zynq</b> <b class='flag-5'>UltraScale+</b> <b class='flag-5'>MPSoC</b>中的隔離方法

    Zynq UltraScale+ MPSoC的隔離設計示例

    電子發燒友網站提供《Zynq UltraScale+ MPSoC的隔離設計示例.pdf》資料免費下載
    發表于 09-13 11:28 ?2次下載
    <b class='flag-5'>Zynq</b> <b class='flag-5'>UltraScale+</b> <b class='flag-5'>MPSoC</b>的隔離設計示例

    適用于Xilinx Zynq UltraScale+ MPSoC應用的電源參考設計

    電子發燒友網站提供《適用于Xilinx Zynq UltraScale+ MPSoC應用的電源參考設計.pdf》資料免費下載
    發表于 09-13 09:55 ?7次下載
    適用于Xilinx <b class='flag-5'>Zynq</b> <b class='flag-5'>UltraScale+</b> <b class='flag-5'>MPSoC</b>應用的電源參考設計

    Zynq UltraScale+ MPSoC生產勘誤表

    電子發燒友網站提供《Zynq UltraScale+ MPSoC生產勘誤表.pdf》資料免費下載
    發表于 09-15 10:35 ?0次下載
    <b class='flag-5'>Zynq</b> <b class='flag-5'>UltraScale+</b> <b class='flag-5'>MPSoC</b>生產勘誤表

    Zynq UltraScale+ MPSoC驗證數據手冊

    電子發燒友網站提供《Zynq UltraScale+ MPSoC驗證數據手冊.pdf》資料免費下載
    發表于 09-15 10:13 ?0次下載
    <b class='flag-5'>Zynq</b> <b class='flag-5'>UltraScale+</b> <b class='flag-5'>MPSoC</b>驗證數據手冊
    亚洲欧美日韩精品久久_久久精品AⅤ无码中文_日本中文字幕有码在线播放_亚洲视频高清不卡在线观看
    <acronym id="s8ci2"><small id="s8ci2"></small></acronym>
    <rt id="s8ci2"></rt><rt id="s8ci2"><optgroup id="s8ci2"></optgroup></rt>
    <acronym id="s8ci2"></acronym>
    <acronym id="s8ci2"><center id="s8ci2"></center></acronym>