<acronym id="s8ci2"><small id="s8ci2"></small></acronym>
<rt id="s8ci2"></rt><rt id="s8ci2"><optgroup id="s8ci2"></optgroup></rt>
<acronym id="s8ci2"></acronym>
<acronym id="s8ci2"><center id="s8ci2"></center></acronym>
0
  • 聊天消息
  • 系統消息
  • 評論與回復
登錄后你可以
  • 下載海量資料
  • 學習在線課程
  • 觀看技術視頻
  • 寫文章/發帖/加入社區
會員中心
創作中心

完善資料讓更多小伙伴認識你,還能領取20積分哦,立即完善>

3天內不再提示

標簽 > fifo

fifo

+關注 0人關注

First Input First Output的縮寫,先入先出隊列,這是一種傳統的按序執行方法,先進入的指令先完成并引退,跟著才執行第二條指令。

文章: 245
視頻: 82
瀏覽: 43148
帖子: 739

fifo簡介

 在計算機中,先入先出隊列是一種傳統的按序執行方法,先進入的指令先完成并引退,跟著才執行第二條指令(指令就是計算機在響應用戶操作的程序代碼,對用戶而言是透明的)。如圖1所示,當CPU在某一時段來不及響應所有的指令時,指令就會被安排在FIFO隊列中,比如0號指令先進入隊列,接著是1號指令、2號指令……當CPU完成當前指令以后就會從隊列中取出0號指令先行執行,此時1號指令就會接替0號指令的位置,同樣,2號指令、3號指令……都會向前挪一個位置,這樣解釋大家清楚了吧?

fifo百科

  First Input First Output的縮寫,先入先出隊列,這是一種傳統的按序執行方法,先進入的指令先完成并引退,跟著才執行第二條指令。

  FIFO簡介

  在計算機中,先入先出隊列是一種傳統的按序執行方法,先進入的指令先完成并引退,跟著才執行第二條指令(指令就是計算機在響應用戶操作的程序代碼,對用戶而言是透明的)。如圖1所示,當CPU在某一時段來不及響應所有的指令時,指令就會被安排在FIFO隊列中,比如0號指令先進入隊列,接著是1號指令、2號指令……當CPU完成當前指令以后就會從隊列中取出0號指令先行執行,此時1號指令就會接替0號指令的位置,同樣,2號指令、3號指令……都會向前挪一個位置,這樣解釋大家清楚了吧?

  

  圖1 先進先出隊列FIFO是隊列機制中最簡單的,每個接口上都存在FIFO隊列,表面上看FIFO隊列并沒有提供什么QoS(Quality of Service,服務質量)保證,甚至很多人認為FIFO嚴格意義上不算做一種隊列技術,實則不然,FIFO是其它隊列的基礎,FIFO也會影響到衡量QoS的關鍵指標:報文的丟棄、延時、抖動。既然只有一個隊列,自然不需要考慮如何對報文進行復雜的流量分類,也不用考慮下一個報文怎么拿、拿多少的問題,而且因為按順序取報文,FIFO無需對報文重新排序。簡化了這些實現其實也就提高了對報文時延的保證。FIFO關心的就是隊列長度問題,隊列長度會影響到時延、抖動、丟包率。因為隊列長度是有限的,有可能被填滿,這就涉及到該機制的丟棄原則。常見的一個丟棄原則叫做Tail Drop機制。簡單地說就是該隊列如果已經滿了,那么后續進入的報文被丟棄,而沒有什么機制來保證后續的報文可以擠掉已經在隊列內的報文。在這種機制中,如果定義了較長的隊列長度,那么隊列不容易填滿,被丟棄的報文也就少了,但是隊列長度太長了會出現時延的問題,一般情況下時延的增加會導致抖動也增加。如果定義了較短的隊列,時延的問題可以得到解決,但是發生Tail Drop的報文就變多了。

  FIFO隊列原理簡述

  FIFO隊列不對報文進行分類,當報文進入接口的速度大于接口能發送的速度時,FIFO按報文到達接口的先后順序讓報文進入隊列,同時,FIFO在隊列的出口讓報文按進隊的順序出隊,先進的報文將先出隊,后進的報文將后出隊。FIFO隊列具有處理簡單,開銷小的優點。但FIFO不區分報文類型,采用盡力而為的轉發模式,使對時間敏感的實時應用(如VoIP)的延遲得不到保證,關鍵業務的帶寬也不能得到保證。

  使用FIFO

  FIFO一般用于不同時鐘域之間的數據傳輸,比如FIFO的一端是AD數據采集,另一端是計算機的PCI總線,假設其AD采集的速率為16位 100K SPS,那么每秒的數據量為100K×16bit=1.6Mbps,而PCI總線的速度為33MHz,總線寬度32bit,其最大傳輸速率為1056Mbps,在兩個不同的時鐘域間就可以采用FIFO來作為數據緩沖。另外對于不同寬度的數據接口也可以用FIFO,例如單片機位8位數據輸出,而DSP可能是16位數據輸入,在單片機與DSP連接時就可以使用FIFO來達到數據匹配的目的。

  重要參數

  FIFO的寬度:也就是英文資料里??吹降腡HE WIDTH,它指的是FIFO一次讀寫操作的數據位,就像MCU有8位和16位,ARM32位等等,FIFO的寬度在單片成品IC中是固定的,也有可選擇的,如果用FPGA自己實現一個FIFO,其數據位,也就是寬度是可以自己定義的。

  FIFO的深度:THE DEEPTH,它指的是FIFO可以存儲多少個N位的數據(如果寬度為N)。如一個8位的FIFO,若深度為8,它可以存儲8個8位的數據,深度為12 ,就可以存儲12個8位的數據,FIFO的深度可大可小,個人認為FIFO深度的計算并無一個固定的公式。在FIFO實際工作中,其數據的滿/空標志可以控制數據的繼續寫入或讀出。在一個具體的應用中不可能由一些參數精確算出所需的FIFO深度為多少,這在寫速度大于讀速度的理想狀態下是可行的,但在實際中用到的FIFO深度往往要大于計算值。一般來說根據電路的具體情況,在兼顧系統性能和FIFO成本的情況下估算一個大概的寬度和深度就可以了。而對于寫速度慢于讀速度的應用,FIFO的深度要根據讀出的數據結構和讀出數據由那些具體的要求來確定。

  滿標志:FIFO已滿或將要滿時由FIFO的狀態電路送出的一個信號,以阻止FIFO的寫操作繼續向FIFO中寫數據而造成溢出(overflow)。

  空標志:FIFO已空或將要空時由FIFO的狀態電路送出的一個信號,以阻止FIFO的讀操作繼續從FIFO中讀出數據而造成無效數據的讀出(underflow)。

  讀時鐘:讀操作所遵循的時鐘,在每個時鐘沿來臨時讀數據。

  寫時鐘:寫操作所遵循的時鐘,在每個時鐘沿來臨時寫數據。

  讀指針:指向下一個讀出地址。讀完后自動加1。

  寫指針:指向下一個要寫入的地址的,寫完自動加1。

  讀寫指針其實就是讀寫的地址,只不過這個地址不能任意選擇,而是連續的。

  4.FIFO的分類

  根據FIFO工作的時鐘域,可以將FIFO分為同步FIFO和異步FIFO。同步FIFO是指讀時鐘和寫時鐘為同一個時鐘。在時鐘沿來臨時同時發生讀寫操作。異步FIFO是指讀寫時鐘不一致,讀寫時鐘是互相獨立的。

  5.FIFO設計的難點

  FIFO設計的難點在于怎樣判斷FIFO的空/滿狀態。為了保證數據正確的寫入或讀出,而不發生溢出或讀空的狀態出現,必須保證FIFO在滿的情況下,不能進行寫操作。在空的狀態下不能進行讀操作。怎樣判斷FIFO的滿/空就成了FIFO設計的核心問題。由于同步FIFO幾乎很少用到,這里只描述異步FIFO的空/滿標志產生問題。

  在用到觸發器的設計中,不可避免的會遇到亞穩態的問題(關于亞穩態這里不作介紹,可查看相關資料)。在涉及到觸發器的電路中,亞穩態無法徹底消除,只能想辦法將其發生的概率將到最低。其中的一個方法就是使用格雷碼。格雷碼在相鄰的兩個碼元之間只由一位變換(二進制碼在很多情況下是很多碼元在同時變化)。這就會避免計數器與時鐘同步的時候發生亞穩態現象。但是格雷碼有個缺點就是只能定義2^n的深度,而不能像二進制碼那樣隨意的定義FIFO的深度,因為格雷碼必須循環一個2^n,否則就不能保證兩個相鄰碼元之間相差一位的條件,因此也就不是真正的格雷碼了。第二就是使用冗余的觸發器,假設一個觸發器發生亞穩態的概率為P,那么兩個級聯的觸發器發生亞穩態的概率就為P的平方。但這會導致延時的增加。亞穩態的發生會使得FIFO出現錯誤,讀/寫時鐘采樣的地址指針會與真實的值之間不同,這就導致寫入或讀出的地址錯誤。由于考慮延時的作用,空/滿標志的產生并不一定出現在FIFO真的空/滿時才出現??赡蹻IFO還未空/滿時就出現了空/滿標志。這并沒有什么不好,只要保證FIFO不出現overflow or underflow 就OK了。

  很多關于FIFO的文章其實討論的都是空/滿標志的不同算法問題。

  在Vijay A. Nebhrajani的《異步FIFO結構》一文中,作者提出了兩個關于FIFO空/滿標志的算法。

  第一個算法:構造一個指針寬度為N+1,深度為2^N字節的FIFO(為方便比較,將格雷碼指針轉換為二進制指針)。當指針的二進制碼中最高位不一致而其它N位都相等時,FIFO為滿(在Clifford E. Cummings的文章中以格雷碼表示是前兩位均不相同,而后兩位LSB相同為滿,這與換成二進制表示的MSB不同其他相同為滿是一樣的)。當指針完全相等時,FIFO為空。這也許不容易看出,舉個例子說明一下:一個深度為8字節的FIFO怎樣工作(使用已轉換為二進制的指針)。FIFO_WIDTH=8,FIFO_DEPTH= 2^N = 8,N = 3,指針寬度為N+1=4。起初rd_ptr_bin和wr_ptr_bin均為“0000”。此時FIFO中寫入8個字節的數據。wr_ptr_bin =“1000”,rd_ptr_bin=“0000”。當然,這就是滿條件?,F在,假設執行了8次的讀操作,使得rd_ptr_bin =“1000”,這就是空條件。另外的8次寫操作將使wr_ptr_bin 等于“0000”,但rd_ptr_bin 仍然等于“1000”,因此FIFO為滿條件。

  顯然起始指針無需為“0000”。假設它為“0100”,并且FIFO為空,那么8個字節會使wr_ptr_bin =“1100”,, rd_ptr_bin 仍然為“0100”。這又說明FIFO為滿。

  在Vijay A. Nebhrajani的這篇《異步FIFO結構》文章中說明了怎樣運用格雷碼來設置空滿的條件,但沒有說清為什么深度為8的FIFO其讀寫指針要用3+1位的格雷碼來實現,而3+1位的格雷碼可以表示16位的深度,而真實的FIFO只有8位,這是怎么回事?而這個問題在Clifford E. Cummings的文章中得以解釋。三位格雷碼可表示8位的深度,若在加一位最為MSB,則這一位加其他三位組成的格雷碼并不代表新的地址,也就是說格雷碼的0100表示表示7,而1100仍然表示7,只不過格雷碼在經過一個以0位MSB的循環后進入一個以1為MSB的循環,然后又進入一個以0位MSB的循環,其他的三位碼仍然是格雷碼,但這就帶來一個問題,在0100的循環完成后,進入1000,他們之間有兩位發生了變換,而不是1位,所以增加一位MSB的做法使得該碼在兩處:0100~1000,1100~0000有兩位碼元發生變化,故該碼以不是真正的格雷碼。增加的MSB是為了實現空滿標志的計算。Vijay A. Nebhrajani的文章用格雷碼轉二進制,再轉格雷碼的情況下提出空滿條件,僅過兩次轉換,而Clifford E. Cummings的文章中直接在格雷碼條件下得出空滿條件。其實二者是一樣的,只是實現方式不同罷了。

  第二種算法:Clifford E. Cummings的文章中提到的STYLE#2。它將FIFO地址分成了4部分,每部分分別用高兩位的MSB 00 、01、 11、 10決定FIFO是否為going full 或going empty (即將滿或空)。如果寫指針的高兩位MSB小于讀指針的高兩位MSB則FIFO為“幾乎滿”,

  若寫指針的高兩位MSB大于讀指針的高兩位MSB則FIFO為“幾乎空”。

  在Vijay A. Nebhrajani的《異步FIFO結構》第三部分的文章中也提到了一種方法,那就是方向標志與門限。設定了FIFO容量的75%作為上限,設定FIFO容量的25%為下限。當方向標志超過門限便輸出滿/空標志,這與Clifford E. Cummings的文章中提到的STYLE #2可謂是異曲同工。他們都屬于保守的空滿判斷。其實這時輸出空滿標志FIFO并不一定真的空/滿。

  說到此,我們已經清楚地看到,FIFO設計最關鍵的就是產生空/滿標志的算法的不同產生了不同的FIFO。但無論是精確的空滿還是保守的空滿都是為了保證FIFO工作的可靠。

查看詳情

fifo知識

展開查看更多

fifo技術

同步FIFO和異步FIFO區別介紹

同步FIFO和異步FIFO區別介紹

1. FIFO簡介 FIFO是一種先進先出數據緩存器,它與普通存儲器的區別是沒有外部讀寫地址線,使用起來非常簡單,缺點是只能順序讀寫,而不能隨機讀寫。 ...

2024-06-04 標簽:電路數據傳輸AD 152 0

FIFO漫談之異步FIFO空滿信號的產生位置

FIFO漫談之異步FIFO空滿信號的產生位置

格雷碼的事聊完了,后面順理成章的就是讀寫通路模塊的設計。不過在讀寫控制通路之前還要明確下另一個問題,就是空滿信號的產生位置的事情。

2024-03-19 標簽:fifo格雷碼時鐘域 238 0

為什么格雷碼可以輔助解決多bit跨時鐘域的問題??求解

為什么格雷碼可以輔助解決多bit跨時鐘域的問題??求解

單bit通過兩級同步打拍可以有效的解決亞穩態問題。

2024-03-08 標簽:二進制fifoRTL 749 0

如何從SD卡讀取音頻文件并將其輸出到揚聲器上?

如何從SD卡讀取音頻文件并將其輸出到揚聲器上?

在上一篇教程中,創建了一個 I2S 發送器用來發送來從FPGA內部 ROM 的音頻數據。下一步,我們向該 I2S 發送器添加 AXI-Stream 接口...

2024-01-22 標簽:音頻揚聲器SD卡 1330 0

跨時鐘域的解決方案

跨時鐘域的解決方案

在很久之前便陸續談過亞穩態,FIFO,復位的設計。本次亦安做一個簡單的總結,從宏觀上給大家展示跨時鐘域的解決方案。

2024-01-08 標簽:FPGA設計fifoCDC 455 0

談一談FIFO的深度

談一談FIFO的深度

最近加的群里面有些萌新在進行討論**FIFO的深度**的時候,覺得 **FIFO的深度計算比較難以理解** 。所

2023-11-28 標簽:IC設計fifo時鐘源 512 0

如果IP已經采用OOC綜合那么是否可以將其修改為Global綜合方式?

如果IP已經采用OOC綜合那么是否可以將其修改為Global綜合方式?

相比于Project模式,Vivado Non-Project模式可以提供用戶更多的控制權,進而用戶可以自主管理整個編譯流程

2023-11-16 標簽:fifoCLKVivado 618 0

基于STM32F407的FreeRTOS學習筆記(5)

基于STM32F407的FreeRTOS學習筆記(5)

在數據結構中有一種很重要的數據結構叫做隊列,其特點是數據先進先出。在FreeRTOS中也有一類隊列,我們利用這類隊列在FreeRTOS中實現任務與任務間...

2023-11-07 標簽:fifoFreeRTOS數據結構 437 0

如何使用硬件FIFO來減少接收中斷次數

如何使用硬件FIFO來減少接收中斷次數

本文給介紹如何使用帶FIFO的串口來減少接收中斷次數,通過一種自定義通訊協議格式,給出幀打包方法;之后介紹一種特殊的串口數據發送方法,可在避免使用串口發...

2023-11-06 標簽:單片機fifo定時器 360 0

FIFO為什么不能正常工作?

FIFO為什么不能正常工作?

FIFO為什么不能正常工作?復位信號有效長度不夠,接口時序不匹配,可看下面這篇文章。 本文將介紹: 非DFX工程如何確保異步FIFO自帶的set_max...

2023-11-02 標簽:fpgaFPGA設計fifo 636 0

查看更多>>

fifo資訊

網絡也會堵車?!3大法寶可以搞定它!

網絡也會堵車?!3大法寶可以搞定它!

高速公路會堵車,網絡這條信息的高速公路也會堵。每次小長假,相信大家一定對堵在高速路上一動不動的痛苦經歷深有體會。因為道路寬窄和交通工作人員處理工作效率有...

2024-05-21 標簽:QoS服務器fifo 363 0

美新半導體宣布3軸AMR地磁傳感器榮膺“年度最佳傳感器/MEMS獎項”

2024年3月29日,由全球電子技術領域知名媒體集團ASPENCORE舉辦的"2024年度中國IC領袖峰會暨中國IC設計成就獎頒獎典禮&quo...

2024-04-07 標簽:IC設計fifoAMR 292 0

請問異步FIFO的溢出操作時怎么樣判斷的?

請問異步FIFO的溢出操作時怎么樣判斷的? 異步FIFO是數據傳輸的一種常用方式,在一些儲存器和計算機系統中,常常會用到異步FIFO。作為一種FIFO,...

2023-10-18 標簽:fifo計數器 437 0

同步FIFO和異步FIFO的區別 同步FIFO和異步FIFO各在什么情況下應用

同步FIFO和異步FIFO的區別 同步FIFO和異步FIFO各在什么情況下應用? 1. 同步FIFO和異步FIFO的區別 同步FIFO和異步FIFO在處...

2023-10-18 標簽:fifo 1139 0

為什么異步fifo中讀地址同步在寫時鐘域時序分析不通過?

為什么異步fifo中讀地址同步在寫時鐘域時序分析不通過? 異步FIFO中讀地址同步在寫時鐘域時序分析不通過的原因可能有以下幾個方面: 1. 讀地址同步在...

2023-10-18 標簽:時鐘緩沖器fifo 420 0

fpga跨時鐘域通信時,慢時鐘如何讀取快時鐘發送過來的數據?

fpga跨時鐘域通信時,慢時鐘如何讀取快時鐘發送過來的數據? 在FPGA設計中,通常需要跨時鐘域進行數據通信??鐣r鐘域通信就是在不同的時鐘域之間傳輸數據...

2023-10-18 標簽:FPGA設計fifo緩存器 709 0

芯教程|平頭哥助力昊芯HX2000系列芯片專題SCI串口通訊奇偶校驗(二)SCI增強FIFO

芯教程|平頭哥助力昊芯HX2000系列芯片專題SCI串口通訊奇偶校驗(二)SCI增強FIFO

自昊芯推出專題講解SCI串口通訊奇偶校驗,分為兩期講解,上期主要講解標準SCI模式下的奇偶校驗,本期主要講解增強FIFO模式下的奇偶校驗。HX2000系...

2022-11-08 標簽:芯片fifo 466 0

異步fifo詳解

異步fifo詳解 一. 什么是異步FIFO FIFO即First in First out的英文簡稱,是一種先進先出的數據緩存器,與普通存儲器的區別在于...

2022-12-12 標簽:fifo 3252 0

如何設計異步時鐘之間的接口電路

  一、FIFO簡介   FIFO是英文First In First Out 的縮寫,是一種先進先出的數據緩存器,它與普通存儲器的區別是沒有外部讀...

2022-11-15 標簽:芯片存儲器fifo 572 0

同步FIFO之Verilog實現

FIFO的分類根均FIFO工作的時鐘域,可以將FIFO分為同步FIFO和異步FIFO。同步FIFO是指讀時鐘和寫時鐘為同一個時鐘。在時鐘沿來臨時同時發生...

2022-11-01 標簽:fifoVerilog緩存器 1427 0

查看更多>>

fifo數據手冊

相關標簽

相關話題

換一批
  • Protues
    Protues
    +關注
    Proteus軟件是英國Lab Center Electronics公司出版的EDA工具軟件(該軟件中國總代理為廣州風標電子技術有限公司)。它不僅具有其它EDA工具軟件的仿真功能,還能仿真單片機及外圍器件。
  • 靜電防護
    靜電防護
    +關注
    為防止靜電積累所引起的人身電擊、火災和爆炸、電子器件失效和損壞,以及對生產的不良影響而采取的防范措施。其防范原則主要是抑制靜電的產生,加速靜電泄漏,進行靜電中和等。
  • Altium Designer
    Altium Designer
    +關注
  • FPGA芯片
    FPGA芯片
    +關注
    FPGA(Field-Programmable Gate Array),即現場可編程門陣列,它是在PAL、GAL、CPLD等可編程器件的基礎上進一步發展的產物。
  • ArduBlock
    ArduBlock
    +關注
    ArduBlock軟件是Arduino官方編程環境的第三方軟件,目前必須依附于Arduino軟件下運行,區別于Arduino文本式編程環境,ArduBlock是以圖形化積木搭建的方式編程的,這樣的方式會使編程的可視化和交互性加強,編程門檻降低,即使沒有編程經驗的人也可以嘗試給Arduino控制器編寫程序。
  • AD10
    AD10
    +關注
  • 識別
    識別
    +關注
  • FPGA開發板
    FPGA開發板
    +關注
    FPGA開發板在基于MCU、定制ASIC和體積龐大的電線束來實現引擎及控制電子的系統方案已發展至接近其技術和應用極限,汽車工業正面臨新的設計挑戰。過去汽車電子產品的開發周期是漫長的,而許多汽車制造商現正致力于在更短的時間內,裝備消費者所需的新一代汽車。
  • PCB封裝
    PCB封裝
    +關注
    pcb封裝就是把 實際的電子元器件,芯片等的各種參數(比如元器件的大小,長寬,直插,貼片,焊盤的大小,管腳的長寬,管腳的間距等)用圖形方式表現出來,以便可以在畫pcb圖時進行調用。
  • QUARTUS II
    QUARTUS II
    +關注
    Quartus II 是Altera公司推出的綜合性CPLD/FPGA開發軟件,軟件支持原理圖、VHDL、VerilogHDL以及AHDL(Altera Hardware 支持Description Language)等多種設計輸入形式,內嵌自有的綜合器以及仿真器,可以完成從設計輸入到硬件配置的完整PLD設計流程。
  • PCB封裝庫
    PCB封裝庫
    +關注
  • 語音交互
    語音交互
    +關注
  • AD09
    AD09
    +關注
  • PDN
    PDN
    +關注
  • QuickPcb
    QuickPcb
    +關注
  • Artix-7
    Artix-7
    +關注
      Artix-7 系列:相對于 Spartan-6 系列而言,Artix-7 系列功耗降低了一半, 成本降低了 35%,采用小型化封裝、統一的 Virtex 系列架構,能滿足低成本大批量市場的性能要求,這也正是此前 ASSP、ASIC 和低成本 FPGA 所針對的市場領域。
  • VHDL代碼
    VHDL代碼
    +關注
  • Protel 99 se
    Protel 99 se
    +關注
  • powerlink
    powerlink
    +關注
  • candence
    candence
    +關注
  • 面包板
    面包板
    +關注
    面包板是由于板子上有很多小插孔,專為電子電路的無焊接實驗設計制造的。由于各種電子元器件可根據需要隨意插入或拔出,免去了焊接,節省了電路的組裝時間,而且元件可以重復使用,所以非常適合電子電路的組裝、調試和訓練。
  • 特性阻抗
    特性阻抗
    +關注
    特性阻抗又稱特征阻抗,它不是直流電阻,屬于長線傳輸中的概念。特性阻抗是射頻傳輸線影響無線電波電壓、電流的幅值和相位變化的固有特性,等于各處的電壓與電流的比值,用V/I表示。在射頻電路中,電阻、電容、電感都會阻礙交變電流的流動,合稱阻抗。電阻是吸收電磁能量的,理想電容和電感不消耗電磁能量。
  • AXI
    AXI
    +關注
    AXI是一種總線協議,該協議是ARM公司提出的AMBA3.0協議中最重要的部分,是一種面向高性能、高帶寬、低延遲的片內總線。它的地址/控制和數據相位是分離的,支持不對齊的數據傳輸,同時在突發傳輸中,只需要首地址,同時分離的讀寫數據通道、并支持Outstanding傳輸訪問和亂序訪問,并更加容易進行時序收斂。AXI是AMBA中一個新的高性能協議。
  • 驅動電流
    驅動電流
    +關注
  • FPGA教程
    FPGA教程
    +關注
  • 時鐘源
    時鐘源
    +關注
    時鐘源用來為環形脈沖發生器提供頻率穩定且電平匹配的方波時鐘脈沖信號。它通常由石英 晶體振蕩器和與非門組成的正反饋振蕩電路組成,其輸出送至環形脈沖發生器。
  • Kintex-7
    Kintex-7
    +關注
      Kintex-7系列:Kintex-7 系列是一種新型 FPGA,能以不到 Virtex-6 系列一半的價格實現與其相當性能,性價比提高了一倍,功耗降低了一半。
  • 紅外觸摸屏
    紅外觸摸屏
    +關注
  • Protel DXP
    Protel DXP
    +關注
  • AD采樣
    AD采樣
    +關注
      AD轉換采樣頻率指完成一次從模擬轉換到數字的AD轉換所需時間的倒數,模擬量可以是電壓、電流等電信號,也可以是壓力、溫度、濕度、位移、聲音等非電信號;而AD分辨率指數字量變化一個最小量時模擬信號的變化量。

關注此標簽的用戶(3人)

一只想飛的豬 W1Z1 小可_0ec

編輯推薦廠商產品技術軟件/工具OS/語言教程專題

亚洲欧美日韩精品久久_久久精品AⅤ无码中文_日本中文字幕有码在线播放_亚洲视频高清不卡在线观看
<acronym id="s8ci2"><small id="s8ci2"></small></acronym>
<rt id="s8ci2"></rt><rt id="s8ci2"><optgroup id="s8ci2"></optgroup></rt>
<acronym id="s8ci2"></acronym>
<acronym id="s8ci2"><center id="s8ci2"></center></acronym>