<acronym id="s8ci2"><small id="s8ci2"></small></acronym>
<rt id="s8ci2"></rt><rt id="s8ci2"><optgroup id="s8ci2"></optgroup></rt>
<acronym id="s8ci2"></acronym>
<acronym id="s8ci2"><center id="s8ci2"></center></acronym>
0
  • 聊天消息
  • 系統消息
  • 評論與回復
登錄后你可以
  • 下載海量資料
  • 學習在線課程
  • 觀看技術視頻
  • 寫文章/發帖/加入社區
創作中心

完善資料讓更多小伙伴認識你,還能領取20積分哦,立即完善>

3天內不再提示

【紫光同創國產FPGA教程】【第五章】串口收發實驗

FPGA技術專欄 ? 來源:芯驛電子科技 ? 作者:芯驛電子科技 ? 2021-02-04 13:23 ? 次閱讀

原創聲明:

本原創教程由芯驛電子科技(上海)有限公司(ALINX)創作,版權歸本公司所有,如需轉載,需授權并注明出處(alinx.com)。

適用于板卡型號:

PGL22G/PGL12G

1. 文檔簡介

本文主要講解如何編寫FPGA串口通信的收發程序,在程序中使用了狀態機,是學習狀態機的重要實驗。

2. 實驗環境

  • 開發板

  • 串口調試助手

3. 實驗原理

3.1 串口通信簡介

本文所述的串口指異步串行通信,異步串行是指UART(Universal Asynchronous Receiver/Transmitter),通用異步接收/發送。UART是一個并行輸入成為串行輸出的芯片,通常集成在主板上。UART包含TTL電平的串口和RS232電平的串口。 TTL電平是3.3V的,而RS232是負邏輯電平,它定義+5~+12V為低電平,而-12~-5V為高電平,MDS2710、MDS SD4、EL805等是RS232接口,EL806有TTL接口。

串行接口按電氣標準及協議來分包括RS-232-C、RS-422、RS485等。RS-232-C、RS-422與RS-485標準只對接口的電氣特性做出規定,不涉及接插件、電纜或協議。

開發板的串口通信通過USB轉串口方式,主要是解決很多人電腦不帶串口接口的問題,所以這里不涉及到電氣協議標準,用法和TTL電平串口類似。FPGA芯片使用2個IO口和USB轉串口芯片CP2102相連

pIYBAGAY4eeADCSsAAB2rq6b7Ek854.jpg

開發板USB轉串口部分

3.2 異步串口通信協議

消息幀從一個低位起始位開始,后面是7個或8個數據位,一個可用的奇偶位和一個或幾個高位停止位。接收器發現開始位時它就知道數據準備發送,并嘗試與發送器時鐘頻率同步。如果選擇了奇偶校驗,UART就在數據位后面加上奇偶位。奇偶位可用來幫助錯誤校驗。在接收過程中,UART從消息幀中去掉起始位和結束位,對進來的字節進行奇偶校驗,并將數據字節從串行轉換成并行。UART 傳輸時序如下圖所示:

o4YBAGAY4eeAQeAbAACfK7PW-bI146.jpg

從波形上可以看出起始位是低電平,停止位和空閑位都是高電平,也就是說沒有數據傳輸時是高電平,利用這個特點我們可以準確接收數據,當一個下降沿事件發生時,我們認為將進行一次數據傳輸。

3.3 關于波特率

常見的串口通信波特率有2400 、9600、115200等,發送和接收波特率必須保持一致才能正確通信。波特率是指1秒最大傳輸的數據位數,包括起始位、數據位、校驗位、停止位。假如通信波特率設定為9600,那么一個數據位的時間長度是1/9600秒。

4. 程序設計

4.1 接收模塊設計

串口接收模塊是個參數化可配置模塊,參數“CLK_FRE”定義接收模塊的系統時鐘頻率,單位是Mhz,參數“BAUD_RATE”是波特率。接收狀態機狀態轉換圖如下:

pIYBAGAY4eiAH5QIAAAYzAjClXI855.jpg

“S_IDLE”狀態為空閑狀態,上電后進入“S_IDLE”,如果信號“rx_pin”有下降沿,我們認為是串口的起始位,進入狀態“S_START”,等一個BIT時間起始位結束后進入數據位接收狀態“S_REC_BYTE”,本實驗中數據位設計是8位,接收完成以后進入“S_STOP”狀態,在“S_STOP”沒有等待一個BIT周期,只等待了半個BIT時間,這是因為如果等待了一個周期,有可能會錯過下一個數據的起始位判斷,最后進入“S_DATA”狀態,將接收到的數據送到其他模塊。在這個模塊我們提一點:為了滿足采樣定理,在接受數據時每個數據都在波特率計數器的時間中點進行采樣,以避免數據出錯的情況:

//receiveserialdatabitdataalways@(posedgeclkornegedgerst_n)begin
	if(rst_n==1'b0)
		rx_bits<=8'd0;
	elseif(state?==?S_REC_BYTE?&&?cycle_cnt?==?CYCLE/2-1)
		rx_bits[bit_cnt]<=?rx_pin;
	else
		rx_bits?<=?rx_bits;end

注意:本實驗沒有設計奇偶校驗位。

信號名稱 方向 寬度(bit) 說明
clk in 1 系統時鐘
rst_n in 1 異步復位,低電平復位
rx_data out 8 接收到的串口數據(8位數據)
rx_data_valid out 1 接收到的串口數據有效(高有效)
rx_data_ready in 1 可以接收數據,當rx_data_ready和rx_data_valid都為高時數據送出
rx_pin in 1 串口接收數據輸入

串口接收模塊端口

4.2 發送模塊設計

發送模式設計和接收模塊相似,也是使用狀態機,狀態轉換圖如下:

o4YBAGAY4eiAJSObAAAUvLYchQQ496.jpg

上電后進入“S_IDLE”空閑狀態,如果有發送請求,進入發送起始位狀態“S_START”,起始位發送完成后進入發送數據位狀態“S_SEND_BYTE”,數據位發送完成后進入發送停止位狀態“S_STOP”,停止位發送完成后又進入空閑狀態。在數據發送模塊中,從頂層模塊寫入的數據直接傳遞給寄存器‘tx_reg’,并通過‘tx_reg’寄存器模擬串口傳輸協議在狀態機的條件轉換下進行數據傳送:

always@(posedgeclkornegedgerst_n)begin
	if(rst_n==1'b0)
		tx_reg<=1'b1;
	else
		case(state)
			S_IDLE,S_STOP:
				tx_reg?<=1'b1;
			S_START:
				tx_reg?<=1'b0;
			S_SEND_BYTE:
				tx_reg?<=?tx_data_latch[bit_cnt];
			default:
				tx_reg?<=1'b1;
		endcaseend	
信號名稱 方向 寬度(bit) 說明
clk in 1 系統時鐘
rst_n in 1 異步復位,低電平復位
tx_data in 8 要發送的串口數據(8位數據)
tx_data_valid in 1 發送的串口數據有效(高有效)
tx_data_ready out 1 可以發送數據,當tx_data_ready和tx_data_valid都為高時數據被發送
tx_pin out 1 串口發送數據發送

串口發送模塊端口

4.3 測試程序

測試程序設計FPGA為1秒向串口發送一次“HELLO ALINX\r\n”,不發送期間,如果接受到串口數據,直接把接收到的數據送到發送模塊再返回?!癨r\n”,在這里和C語言中表示一致,都是回車換行。

測試程序分別例化了發送模塊和接收模塊,同時將參數傳遞進去,波特率設置為115200。

always@(posedgesys_clkornegedgerst_n)begin
	if(rst_n==1'b0)
	begin
		wait_cnt<=32'd0;
		tx_data?<=8'd0;
		state?<=?IDLE;
		tx_cnt?<=8'd0;
		tx_data_valid?<=1'b0;
	end
	else
	case(state)
		IDLE:
			state?<=?SEND;
		SEND:
		begin
			wait_cnt?<=32'd0;
			tx_data?<=?tx_str;

			if(tx_data_valid?==1'b1&&?tx_data_ready?==1'b1&&?tx_cnt?<8'd12)//Send?12?bytes?data			begin
				tx_cnt?<=?tx_cnt?+8'd1;//Send?data?counter			end
			elseif(tx_data_valid?&&?tx_data_ready)//last?byte?sent?is?complete			begin
				tx_cnt?<=8'd0;
				tx_data_valid?<=1'b0;
				state?<=?WAIT;
			end
			elseif(~tx_data_valid)
			begin
				tx_data_valid?<=1'b1;
			end
		end
		WAIT:
		begin
			wait_cnt?<=?wait_cnt?+32'd1;

			if(rx_data_valid?==1'b1)
			begin
				tx_data_valid?<=1'b1;
				tx_data?<=?rx_data;//?send?uart?received?data			end
			elseif(tx_data_valid?&&?tx_data_ready)
			begin
				tx_data_valid?<=1'b0;
			end
			elseif(wait_cnt?>=CLK_FRE*1000000)//waitfor1second				state<=?SEND;
		end
		default:
			state?<=?IDLE;
	endcaseend//combinational?logic//Send?"HELLO?ALINX\r\n"always@(*)begin
	case(tx_cnt)
		8'd0:??tx_str?<="H";
		8'd1:??tx_str?<="E";
		8'd2:??tx_str?<="L";
		8'd3:??tx_str?<="L";
		8'd4:??tx_str?<="O";
		8'd5:??tx_str?<="";
		8'd6:??tx_str?<="A";
		8'd7:??tx_str?<="L";
		8'd8:??tx_str?<="I";
		8'd9:??tx_str?<="N";
		8'd10:??tx_str?<="X";
		8'd11:??tx_str?<="\r";
		8'd12:??tx_str?<="\n";
		default:tx_str?<=8'd0;
	endcaseenduart_rx#(.CLK_FRE(CLK_FRE),.BAUD_RATE(115200))?uart_rx_inst(.clk????????????????????????(sys_clk??????????????????),.rst_n??????????????????????(rst_n????????????????????),.rx_data????????????????????(rx_data??????????????????),.rx_data_valid??????????????(rx_data_valid????????????),.rx_data_ready??????????????(rx_data_ready????????????),.rx_pin?????????????????????(uart_rx??????????????????));uart_tx#(.CLK_FRE(CLK_FRE),.BAUD_RATE(115200))?uart_tx_inst(.clk????????????????????????(sys_clk??????????????????),.rst_n??????????????????????(rst_n????????????????????),.tx_data????????????????????(tx_data??????????????????),.tx_data_valid??????????????(tx_data_valid????????????),.tx_data_ready??????????????(tx_data_ready????????????),.tx_pin?????????????????????(uart_tx??????????????????));

5. 仿真

這里我們添加了一個串口接收的激勵程序vtf_uart_test.v文件,用來仿真uart串口接收。這里向串口模塊的uart_rx發送0xa3的數據, 每位的數據按115200的波特率發送,1位起始位,8位數據位和1位停止位。

pIYBAGAY4emACAtXAAAUd7b93e8801.jpg

仿真的結果如下,當程序接收到8位數據的時候,rx_data_valid有效,rx_data[7:0]的數據位a3。

o4YBAGAY4emAOUxEAABzZ2oXb8s174.jpg

6. 實驗測試

由于開發板的串口使用USB轉串口芯片,首先要安裝串口驅動程序,正確安裝驅動狀態如下圖所示(當然要連接串口的USB到電腦)。如果沒有正確連接請參考本文附錄“串口驅動的安裝”。

pIYBAGAY4eqAHX5BAACTB1r6WW4300.jpg

串口驅動正常的狀態

從圖中可以看出系統給串口分配的串口號是“COM3”,串口號的分配是系統完成的,自動分配情況下每臺電腦可能會有差異,筆者這里是“COM3”,使用串口號時要根據自己的分配情況選擇。

打開串口調試,端口選擇“COM3”(根據自己情況選擇),波特率設置115200,檢驗位選None,數據位選8,停止位選1,然后點擊“打開串口”。如果找不到這個小軟件使用windows搜索功能,在黑金給的資料文件夾里搜索“串口調試”。

o4YBAGAY4euAQiR0AAB-S4bkx8c591.jpg

打開串口以后,每秒可收到“HELLO ALINX”,在發送區輸入框輸入要發送的文字,點擊“手動發送”,可以看到接收到自己發送的字符。

pIYBAGAY4euAdjj9AAB7u6KxnDk086.jpg

7. 附錄

7.1 串口驅動安裝

沒有安裝驅動插入usb轉串口以后設備管理器下會出現如下情況:

o4YBAGAY4eyANRFpAAAQtSZICrY901.jpg

驅動程序的安裝文件可以在我們提供的資料里的“軟件工具及驅動\USB轉串口驅動”目錄下找到,如果操作系統是32位的用戶雙擊CP210x_VCPInstaller_x86.exe開始安裝; 如果操作系統是64位的用戶雙擊CP210x_VCPInstaller_x64.exe開始安裝;

pIYBAGAY4eyACp7dAADOtBUtHaE398.jpg

驅動安裝成功后,再打開“設備管理器”, 打開“端口(COM和LPT)”,會出現對應的COM Number。分配的編號由系統決定。

o4YBAGAY4e2AXXEdAAAb10UrcHk884.jpg

聲明:本文內容及配圖由入駐作者撰寫或者入駐合作網站授權轉載。文章觀點僅代表作者本人,不代表電子發燒友網立場。文章及其配圖僅供工程師學習之用,如有內容侵權或者其他違規問題,請聯系本站處理。 舉報投訴
  • FPGA
    +關注

    關注

    1603

    文章

    21332

    瀏覽量

    593692
  • 串口
    +關注

    關注

    14

    文章

    1485

    瀏覽量

    74536
  • uart
    +關注

    關注

    22

    文章

    1159

    瀏覽量

    100019
  • 開發板
    +關注

    關注

    25

    文章

    4442

    瀏覽量

    94209
  • 紫光同創
    +關注

    關注

    5

    文章

    68

    瀏覽量

    27278
收藏 人收藏

    評論

    相關推薦

    紫光同創盤古PGX-Lite 7K教程】——(盤古PGX-Lite 7K開發板/PGC7KD-6IMBG256第五章)?序列檢測器實驗例程

    適用于板卡型號: 紫光同創PGC7KD-6IMBG256開發平臺(盤古PGX-Lite 7K) 僅需一根TypcC線,插上即用,輕松操作。兼容下載器的一體版,配套資料豐富, 快速掌握國產FPG
    發表于 04-30 17:50

    紫光同創FPGA有哪些型號

    請問紫光同創FPGA有哪些型號?分別用于什么場景?
    發表于 01-24 10:48

    國產FPGA介紹-紫光同創

    紫光同創紫光集團旗下紫光國微的子公司,成立于2013年,有十余年可編程邏輯器件研發經歷,布局覆蓋高中低端FPGA產品。 早在2015年,
    發表于 01-24 10:45

    2024新品|紫光同創盤古系列FPGA開發板套件,100%國產化方案

    專業廠商紫光同創生態合作伙伴,小眼睛科技一直深耕FPGA產品和解決方案,基于紫光同創器件,推出100%
    發表于 12-28 14:18

    紫光同創FPGA開發套件,高性能國產FPGA方案

    紫光同創FPGA開發套件,高性能國產FPGA方案,100%國產化,全系列產品,方案可定制,滿足多
    發表于 11-16 17:25

    基于紫光同創PGL25G的FPGA方案~

    基于紫光同創PGL25G的FPGA方案展示@小眼睛FPGA~
    發表于 11-02 18:00

    基于紫光同創PGL50H-6IFBG484的光端機方案

    基于紫光同創PGL50H-6IFBG484的光端機方案展示#小眼睛FPGA#紫光同創#國產
    發表于 11-02 17:44

    紫光同創PGL22G開發板|盤古22K開發板,國產FPGA開發板,接口豐富,高性價比

    盤古22K開發板是基于紫光同創Logos系列PGL22G芯片設計的一款FPGA開發板,全面實現國產化方案,板載資源豐富,高容量、高帶寬,外圍接口豐富,不僅適用于高校教學,還可以用于
    發表于 09-21 18:16

    紫光同創FPGA入門指導:串口收發——紫光盤古系列22K開發套件實驗教程(七)

    是基于紫光同創Logos系列PGL22G芯片設計的一款FPGA開發板,全面實現國產化方案,板載資源豐富,高容量、高帶寬,外圍接口豐富,不僅適用于高校教學,還可以用于
    發表于 09-05 10:13

    紫光同創PGL22G開發板|盤古22K開發板,國產FPGA開發板

    ? 本原創文章由深圳市小眼睛科技有限公司創作,版權歸本公司所有,如需轉載,需授權并注明出處 盤古22K開發板是基于紫光同創Logos系列PGL22G芯片設計的一款FPGA開發板,全面實現國產
    發表于 08-31 14:21

    紫光同創FPGA入門指導:序列檢測器——紫光盤古系列22K開發套件實驗教程(

    平臺)簡介 盤古22K開發板是基于紫光同創Logos系列PGL22G芯片設計的一款FPGA開發板,全面實現國產化方案,板載資源豐富,高容量、高帶寬,外圍接口豐富,不僅適用于高校教學,還
    發表于 08-25 14:13

    【免費試用】紫光同創PGL50H開發平臺,高性能國產FPGA,盤古50K開發板試用活動火熱征集中!

    的可編程邏輯器件平臺和系統解決方案。 為方便更多用戶熟練掌握國產FPGA開發平臺,在導入國產化器件時可以實現快速轉化,紫光同創聯合生態合作伙
    發表于 08-21 16:16

    紫光同創國產FPGA教程】【PGC1/2KG第二】LED 流水燈實驗例程

    適用于板卡型號: 紫光同創PGC1/2KG開發平臺(盤古1K/2K) 一:盤古1K/2K開發板(紫光同創PGC2KG開發平臺)簡介 盤古1/2K 開發板是一套基于
    發表于 08-09 11:58

    紫光同創國產FPGA教程】【PGC1/2KG第一】控制LED燈實驗例程

    適用于板卡型號: 紫光同創PGC1/2KG開發平臺(盤古1K/2K) 一:盤古1K/2K開發板(紫光同創PGC2KG開發平臺)簡介 盤古1/2K 開發板是一套基于
    發表于 08-08 11:49
    亚洲欧美日韩精品久久_久久精品AⅤ无码中文_日本中文字幕有码在线播放_亚洲视频高清不卡在线观看
    <acronym id="s8ci2"><small id="s8ci2"></small></acronym>
    <rt id="s8ci2"></rt><rt id="s8ci2"><optgroup id="s8ci2"></optgroup></rt>
    <acronym id="s8ci2"></acronym>
    <acronym id="s8ci2"><center id="s8ci2"></center></acronym>