<acronym id="s8ci2"><small id="s8ci2"></small></acronym>
<rt id="s8ci2"></rt><rt id="s8ci2"><optgroup id="s8ci2"></optgroup></rt>
<acronym id="s8ci2"></acronym>
<acronym id="s8ci2"><center id="s8ci2"></center></acronym>
0
  • 聊天消息
  • 系統消息
  • 評論與回復
登錄后你可以
  • 下載海量資料
  • 學習在線課程
  • 觀看技術視頻
  • 寫文章/發帖/加入社區
創作中心

完善資料讓更多小伙伴認識你,還能領取20積分哦,立即完善>

3天內不再提示

ALINX紫光同創國產FPGA開發板PGL12G發布

FPGA技術專欄 ? 來源:芯驛電子科技 ? 作者:芯驛電子科技 ? 2021-04-09 10:50 ? 次閱讀

由ALINX聯合紫光同創共同推出的logos系列PGL12G開發板正式發布了,這款板卡充分利用了有效資源幫助工程師進行前期的芯片功能驗證,也可以讓學生群里進行入門級的學習,了解國產FPGA的芯片開發流程和軟件的使用。這款板卡預留了HDMI輸出接口、串口、SD卡接口、攝像頭接口、AD接口等等,非常適合視頻圖像處理和工業控制等領域的使用,這款芯片具有高性價比,具有非常好的成本優勢,是國產FPGA芯片中非常適合中低端應用的型號。

pIYBAGBub2CAFsFcAAFZ9kDdNiY478.jpgo4YBAGBub2KAT-BxAACBIijuw7Q408.jpgpIYBAGBub2KAHQW2AAEJDVM3FyU157.jpgo4YBAGBub2OAb4CMAAFgiwLDvVg082.jpg
聲明:本文內容及配圖由入駐作者撰寫或者入駐合作網站授權轉載。文章觀點僅代表作者本人,不代表電子發燒友網立場。文章及其配圖僅供工程師學習之用,如有內容侵權或者其他違規問題,請聯系本站處理。 舉報投訴
  • FPGA
    +關注

    關注

    1606

    文章

    21344

    瀏覽量

    594175
  • HDMI
    +關注

    關注

    32

    文章

    1500

    瀏覽量

    150026
  • 串口
    +關注

    關注

    14

    文章

    1496

    瀏覽量

    74609
  • 開發板
    +關注

    關注

    25

    文章

    4528

    瀏覽量

    94573
  • 紫光同創
    +關注

    關注

    5

    文章

    71

    瀏覽量

    27297
收藏 人收藏

    評論

    相關推薦

    紫光同創PGL22G開發板|盤古22K開發板,國產FPGA開發板,接口豐富,高性價比

    盤古22K開發板是基于紫光同創Logos系列PGL22G芯片設計的一款FPGA開發板,全面實現
    發表于 05-23 10:04

    ALINX聯合紫光同創發布首款國產Kosmo-2可編程系統平臺開發套件

    近日,ALINX 聯合國內 FPGA 芯片龍頭企業紫光同創發布了首款國產Kosmo-2 可編程系
    的頭像 發表于 05-15 11:05 ?162次閱讀
    <b class='flag-5'>ALINX</b>聯合<b class='flag-5'>紫光</b><b class='flag-5'>同創</b><b class='flag-5'>發布</b>首款<b class='flag-5'>國產</b>Kosmo-2可編程系統平臺<b class='flag-5'>開發</b>套件

    精選推薦!紫光盤古系列FPGA開發板信息匯總

    。 紫光盤古系列:盤古22K開發板 盤古22K開發板(MES22GP)是基于紫光同創40nm工藝的Logos系列
    發表于 04-11 11:57

    紫光同創PGL22G高校教學定制,盤古EU_22k開發板,板卡合并下載器,可以直接下載程序

    盤古EU_22k開發板,板卡合并下載器,可以直接下載程序,一鍵式便攜操作,紫光同創PGL22G高校教學定制,歡迎咨詢
    發表于 01-04 09:52

    紫光同創PGL22G)盤古EU_22k開發板,板卡合并下載器

    盤古EU_22k開發板,板卡合并下載器,可以直接下載程序,一鍵式便攜操作,紫光同創PGL22G高校教學定制,歡迎咨詢
    發表于 01-03 17:32

    基于紫光同創PGL25GFPGA方案~

    基于紫光同創PGL25GFPGA方案展示@小眼睛FPGA~
    發表于 11-02 18:00

    基于紫光同創PGL50H-6IFBG484的光端機方案

    基于紫光同創PGL50H-6IFBG484的光端機方案展示#小眼睛FPGA#紫光同創#
    發表于 11-02 17:44

    紫光同創PGL22G開發板|盤古22K開發板,國產FPGA開發板,接口豐富,高性價比

    盤古22K開發板是基于紫光同創Logos系列PGL22G芯片設計的一款FPGA開發板,全面實現
    發表于 09-21 18:16

    集創賽(全國大學生集成電路創新創業大賽)和全國大學生FPGA競賽紫光同創賽道官方定制FPGA開發板|國產FPGA開發板PGL50H

    基于紫光同創Logos系列PGL50H芯片的開發板,全面實現國產化方案,板載資源豐富,高容量、高帶寬,海量外圍接口,功能強大,可實現復雜項目
    發表于 09-20 17:34

    紫光同創PGL22G開發板|盤古22K開發板,國產FPGA開發板

    ? 本原創文章由深圳市小眼睛科技有限公司創作,版權歸本公司所有,如需轉載,需授權并注明出處 盤古22K開發板是基于紫光同創Logos系列PGL22G芯片設計的一款
    發表于 08-31 14:21

    【免費試用】紫光同創PGL50H開發平臺,高性能國產FPGA,盤古50K開發板試用活動火熱征集中!

    開發板)免費試用活動,歡迎FPGA應用開發者、高校師生和研究人員踴躍報名,申請試用! 掃描報名二維碼即可立即報名! *掃碼報名參與免費試用活動 紫光
    發表于 08-21 16:16

    被100+所高校種草的FPGA開發板長啥樣|國產FPGA開發板PGL50H

    基于紫光同創Logos系列PGL50H芯片的開發板,全面實現國產化方案,板載資源豐富,高容量、高帶寬,海量外圍接口,功能強大,可實現復雜項目
    的頭像 發表于 08-11 10:01 ?789次閱讀
    被100+所高校種草的<b class='flag-5'>FPGA</b><b class='flag-5'>開發板</b>長啥樣|<b class='flag-5'>國產</b><b class='flag-5'>FPGA</b><b class='flag-5'>開發板</b><b class='flag-5'>PGL</b>50H

    【視頻教程】紫光同創PGL22G關鍵特性評估@盤古22K開發板開箱教程

    開箱大吉#紫光同創PGL22G關鍵特性評估@盤古22K開發板 開箱教程來啦!詳細教程手把手來教啦!#
    發表于 06-28 10:46

    【視頻】紫光同創Logos系列PGL50H關鍵特性評估@盤古50K開發板#小眼睛FPGA盤古系列開發板

    【視頻】紫光同創Logos系列PGL50H關鍵特性評估@盤古50K開發板#小眼睛FPGA盤古系
    發表于 06-12 18:07

    【視頻】盤古Logos系列PGL22G關鍵特性評估@盤古22K開發板#紫光同創FPGA開發板

    【視頻】盤古Logos系列PGL22G關鍵特性評估@盤古22K開發板#紫光同創FPGA
    發表于 06-12 17:38
    亚洲欧美日韩精品久久_久久精品AⅤ无码中文_日本中文字幕有码在线播放_亚洲视频高清不卡在线观看
    <acronym id="s8ci2"><small id="s8ci2"></small></acronym>
    <rt id="s8ci2"></rt><rt id="s8ci2"><optgroup id="s8ci2"></optgroup></rt>
    <acronym id="s8ci2"></acronym>
    <acronym id="s8ci2"><center id="s8ci2"></center></acronym>