<acronym id="s8ci2"><small id="s8ci2"></small></acronym>
<rt id="s8ci2"></rt><rt id="s8ci2"><optgroup id="s8ci2"></optgroup></rt>
<acronym id="s8ci2"></acronym>
<acronym id="s8ci2"><center id="s8ci2"></center></acronym>
0
  • 聊天消息
  • 系統消息
  • 評論與回復
登錄后你可以
  • 下載海量資料
  • 學習在線課程
  • 觀看技術視頻
  • 寫文章/發帖/加入社區
會員中心
創作中心

完善資料讓更多小伙伴認識你,還能領取20積分哦,立即完善>

3天內不再提示
電子發燒友網 > EDA/IC設計 > 業界新聞

EDA/IC設計

爭奪芯片業務不斷縮小的物理冗余

不斷增加的密度和復雜性使得從設計到制造再到現場捕獲和集成更多數據變得勢在必行。

2023-08-07 標簽:存儲器EDA工具芯片設計觸發器電源完整性 232

RISC-V64 正式成為Debian官方支持架構

RISC-V64 正式成為Debian官方支持架構...

2023-07-31 標簽:RISCfreebsd指令集RISC-VDebian 666

中國IC獨角獸企業如何崛起?各家獨角獸對未來怎么看?

近三年,我國半導體產業快速發展,市場規模近三年的復合增長率達到了7.6%。無論是從原材料、設備、再到設計軟件、芯片設計及制造封裝,國內都取得了極大的進步。

2023-07-30 標簽:半導體IC設計微處理器NOR flash 604

持續突破,華大九天多款EDA工具重磅發布!

2023年7月27日晚,華大九天發布2023年半年報。公司著眼于解決EDA工具鏈關鍵環節難點,結合行業應用熱點,憑借雄厚的研發實力在定制電路設計EDA、數字電路設計EDA、晶圓制造EDA及平板顯示電路設計EDA等產品線上均有新產品推出。 全新推出存儲電路設計全流程EDA工具系統 存儲芯片是集成電路中不可或缺的組成部分,在消費電子、智能終端等領域有著廣泛的應用。近年來隨著汽車電子、5G 通訊、物聯網、可穿戴等熱門新興領域的崛起,以及中國在電子制造

2023-07-28 標簽:eda 375

Chiplet究竟是什么?中國如何利用Chiplet技術實現...

美國打壓中國芯片技術已經是公開的秘密!下一個戰場在哪里?業界認為可能是Chiplet。

2023-07-27 標簽:晶圓晶體管芯片封裝計算機芯片chiplet 461

Meta:正在多款自研芯片上使用RISC-V

在DAC 2023上,Meta 的 ASIC 工程經理 Himanshu Sanghavi 談到了 Meta 正在使用 RISC-V 開展的各種項目。

2023-07-27 標簽:處理器ASIC設計機器學習硬件加速器RISC-V 616

如何充分利用Emulation和FPGA效果才能翻倍呢?

隨著芯片規模和系統代碼越來越復雜龐大,產品的上市時間不斷面臨挑戰。

2023-07-19 標簽:fpga加速器RTLASIC芯片SVS模塊 1327

EDA廠商奇捷科技亮相DAC 2023

EDA廠商奇捷科技亮相DAC 2023...

2023-07-18 標簽:IPeda電子設計自動化設計自動化 460

7月20日-EDA/IP核產業發展論壇|完整議程發布!

7月20日-EDA/IP核產業發展論壇|完整議程發布!...

2023-07-17 標簽:eda 531

第三屆中國集成電路設計創新大會暨IC應用博覽會舉行

? 7月13日,以“應用引領集成電路產業高質量發展”為主題的第三屆中國集成電路設計創新大會暨IC應用博覽會(ICDIA 2023)舉行??萍疾恐卮髮m椝靖彼鹃L邱鋼,省科技廳二級巡視員楊小平,副市長周文棟,國家01專項技術總師、中國集成電路設計創新聯盟理事長、清華大學教授魏少軍,高新區黨工委副書記、管委會副主任、新吳區委副書記、區長章金偉,區領導顧國棟、劉成參加相關活動。 ? ? 楊小平在致辭中表示,省委省政府把加快集成電路產業發

2023-07-17 標簽:集成電路 254

芯和半導體在DAC上發布高速數字信號完整性、電源完整性EDA...

2023 年7月11日,中國上海訊 ——芯和半導體于2023年7月10日在美國舊金山西莫斯克尼會議中心舉辦的DAC2023設計自動化大會上,正式發布了高速數字信號完整性和電源完整性(SI/PI) EDA2023軟件集,涵蓋了眾多先進封裝和高速設計領域的重要功能和升級。 繼上月在國際微波展IMS上發布射頻EDA解決方案2023版本之后,芯和半導體此次發布了全系列EDA產品2023版本的剩余部分,包括針對先進封裝的2.5D/3D信號完整性和電源完整性仿真,以及3D EM電磁仿真平臺、多場協同

2023-07-11 標簽:芯和半導體 251

全新***設計EDA發布——芯神瞳邏輯系統S8-40

業內知名的數字前端EDA供應商思爾芯(S2C)發布了最新一代原型驗證解決方案“芯神瞳邏輯系統S8-40”。據悉,S8-40適用于處理復雜的邏輯電路和大規模數據,可支持諸多高帶寬協議。

2023-07-06 標簽:芯片設計edaPCIe 418

思爾芯首款支持PCIe Gen5原型驗證EDA工具上市,高性...

思爾芯首款支持PCIe Gen5原型驗證EDA工具上市,高性能加速AI設計...

2023-07-04 標簽:AI思爾芯 292

思爾芯首款支持PCIe Gen5原型驗證EDA工具上市

支持 PCIe Gen5 x 4 與 CXL(EP)的連接,以及 PCIe Gen5 x 8 與 CCIX(RC/EP)的連接。這使得它能夠以高速率 PCIe 進行數據傳輸,滿足 PCIe 相關的驗證或是對帶寬要求高的應用。

2023-07-04 標簽:物聯網edaAIPCIe思爾芯 338

第一季全球前十大IC設計公司營收338.6億美元

展望第二季,盡管IC庫存去化速度較預期緩慢,但相較于2022年下半年庫存高企時期,仍已陸續恢復至較為健康的水位。

2023-06-29 標簽:IC設計人工智能ChatGPT 359

思爾芯EDA工具助力Sirius Wireless搭建Wi-...

RF IP 解決方案提供商 Sirius Wireless 的 Wi-Fi6/BT 射頻 IP 驗證系統已被廣泛應用,該系統是基于思爾芯的原型驗證 EDA 工具搭建而成。

2023-06-29 標簽:以太網連接器EDA工具GNSS射頻系統 287

IC設計今年營復蘇變得近乎渺茫

業內高層表示,2024年晶圓代工廠所給出的分配量幾乎已經底定,部分產品很有可能會再多增加投片量,主要是短期庫存已經大致見底,或是對于新產品的投入放大。

2023-06-20 標簽:IC設計晶圓代工Mini LED 94

淺談芯片設計最大的挑戰和機遇

多芯片以及異構3D-IC系統既是目前最大的機遇,也是面臨的最大挑戰。中國公司也是一個巨大的挑戰,尤其在EDA領域。他們那有很多初創公司,我們向中國銷售產品也變得具有挑戰性。

2023-06-08 標簽:芯片設計eda人工智能機器學習 456

Cadence與Arm合作通過其新的全面計算解決方案(Tot...

Cadence與Arm合作通過其新的全面計算解決方案(Total Compute Solutions)加速移動設備芯片的開發...

2023-06-03 標簽:芯片armgpusocCadenceIPeda 371

EDA如何助力大芯片產業成功破局?

未來幾年,數字化轉型、云計算和AI等應用將推動高性能計算滲透率加速提升,屆時全球將逐步進入高性能計算的大周期。

2023-05-29 標簽:eda算力 258

編輯推薦廠商產品技術軟件/工具OS/語言教程專題

亚洲欧美日韩精品久久_久久精品AⅤ无码中文_日本中文字幕有码在线播放_亚洲视频高清不卡在线观看
<acronym id="s8ci2"><small id="s8ci2"></small></acronym>
<rt id="s8ci2"></rt><rt id="s8ci2"><optgroup id="s8ci2"></optgroup></rt>
<acronym id="s8ci2"></acronym>
<acronym id="s8ci2"><center id="s8ci2"></center></acronym>