<acronym id="s8ci2"><small id="s8ci2"></small></acronym>
<rt id="s8ci2"></rt><rt id="s8ci2"><optgroup id="s8ci2"></optgroup></rt>
<acronym id="s8ci2"></acronym>
<acronym id="s8ci2"><center id="s8ci2"></center></acronym>
0
  • 聊天消息
  • 系統消息
  • 評論與回復
登錄后你可以
  • 下載海量資料
  • 學習在線課程
  • 觀看技術視頻
  • 寫文章/發帖/加入社區
會員中心
創作中心

完善資料讓更多小伙伴認識你,還能領取20積分哦,立即完善>

3天內不再提示
電子發燒友網 > EDA/IC設計 > 業界新聞

EDA/IC設計

西門子布宣布與臺積電攜手優化芯片設計過程

用于集成電路(IC)驗證sign-off的Calibre nmPlatform工具現已獲得臺積電的N2工藝認證,可為早期采用臺積電N2工藝技術的廠商提供全面支持。

2023-10-20 標簽:集成電路臺積電西門子芯片設計eda 161

eda怎么自動布線 eda布線完了之后干嘛

EDA(電子設計自動化)軟件通常提供了自動布線工具,以幫助設計師在電路板設計中進行自動布線。以下是一般的自動布線流程。

2023-09-26 標簽:電路板EDA信號線電源完整性 2080

打通系統到后端,芯華章發布首款自研數字全流程等價性驗證工具

打通系統到后端,芯華章發布首款自研數字全流程等價性驗證工具...

2023-09-19 標簽:芯華章 274

傳智驛芯聯手Arteris,利用創新NoC技術駕馭復雜SoC...

2023年9月18日, 由傳智驛芯科技和Arteris聯合舉辦的技術研討會——“利用創新NoC技術駕馭復雜的片上系統(SoC)設計” 在深圳成功舉辦。西安交通大學任鵬舉教授,Arteris中國區技術支持高級經理馮存榮,傳智驛芯科技首席戰略官時昕博士圍繞片上互連網絡(Network on Chip,NoC)技術從學術和應用等不同維度分別發表了主題演講。 在與全球 系統 IP的先進提供商Arteris的密切 合作 下 ,傳智驛芯科技將針對中國市場的多樣化需求布局基于NoC技術開發設計的解

2023-09-18 標簽:socNoC傳智驛芯 404

困擾你80%時間的那20%調試問題,可以通過它來解決

簡單講,一旦擁有了開放的接口,那你擁有的就不僅僅是一款調試工具,而是一個開放的、支持DIY的客制化調試平臺,因為它將可以兼容不同家公司EDA工具、透過接口調用底層數據庫,實現想看哪就看哪的自定義功能,簡直不能更治愈! 眾人皆知,驗證離不開調試工具。 在整個設計驗證流程中,驗證占了70%的工作量,調試debug就占了其中的40%。 幾乎所有驗證工具,無論是邏輯仿真、硬件仿真還是形式化驗證、原型驗證等等,本質上都是通過不同的方式

2023-09-19 標簽:eda 389

谷泰微榮獲“最具潛力模擬IC設計公司獎”

2023年9月14日,電子發燒友網主辦的第五屆模擬半導體大會邀請了眾多來自國內模擬半導體廠商及專家進行技術和產品分享,對于推動中國模擬半導體產業的發展具有重要意義。與往屆相比,本屆大會在議程設置、參會人數、嘉賓級別等方面都有所提升,為與會者提供了一個更加廣闊的交流平臺。 ? 江蘇谷泰微電子有限公司憑借出色的表現榮獲【最具潛力模擬IC設計公司獎】,這一榮譽不僅是對谷泰微在模擬半導體領域的認可,更是對其未來發展潛力的肯

2023-09-15 標簽:IC谷泰微 380

芯片行業制定標準需要做哪些準備?

第一次參加標準會議的工程師可能會覺得自己進入了另一個世界。Arteris公司研究員兼工程總監里奇-韋伯(Rich Weber)說:“這與你的正常工作不同。這更像是政治。

2023-09-14 標簽:西門子APIeda電磁場 226

硬件仿真加速案例 | HyperSemu Emulator為...

近日,亞科鴻禹新一代硬件仿真加速器HyperSemu成功在北京某領先無線數字通信芯片開發企業的下一代“Wi-Fi6+藍牙雙模IoT芯片”項目中完成部署,實現了對原有仿真方法200倍的加速,達到業內先進水平。 “Wi-Fi6+藍牙雙模IoT”芯片在日常的應用場景中需要面對多設備多站點同時接入的復雜場景的考驗,這給開發和驗證工作帶來了巨大挑戰。復雜的無線通信場景驗證和長時間工作穩定性驗證都將消耗大量的工程開發時間,使得開發團隊面臨巨大的交付時間壓

2023-09-13 標簽:wi-fiIoT芯片 319

芯原股份出席IP SoC China 2023 分享超分辨率...

? 9月6日,由Design&Reuse主辦的IP SoC China 2023在上海長榮桂冠酒店舉辦。IP SoC系列活動致力于推廣半導體IP和基于IP的電子系統,旨在全球范圍推進IP知識共享。芯原在本次活動中帶來了一場技術分享,并在展區展示了公司豐富的IP組合。 會上,芯原股份機器學習軟件高級總監毛夏飛以《面向智能顯示設備的超分辨率技術》為題發表演講。他表示,超分辨率 (Super Resolution) 技術不僅是學術界的研究重點,也是產業界著力推動應用創新的技術領域之一,在過去的

2023-09-08 標簽:socIPISP機器學習芯原股份 357

EDA巨頭“三分天下” 國產EDA企業有哪些

EDA工具的使用涵蓋了芯片的功能設計、綜合、驗證、物理設計等環節,更是被稱作“芯片設計的工作母機”。 要論EDA工具的重要性,一句話就足夠——沒有任何一家DesignHouse可以脫離EDA工具設計芯片。 去年8月時,美國商務部針對先進半導體和燃氣渦輪發動機生產技術發布了新禁令,其中就包括:“GAAFET結構集成電路所必需的EDA軟件”。

2023-09-04 標簽:集成電路電路板eda存儲芯片電子設計自動化 3142

中國RISC-V工委會成立,芯啟源當選副會長單位

2023年8月31日,中國電子工業標準化技術協會RISC-V工作委員會(簡稱“RISC-V工委會”)正式成立,芯啟源當選為副會長單位。RISC-V工委會邀請了26位院士組成戰略委員會,中國工程院倪光南院士任主任委員。 ? 芯啟源助力RISC-V產業協同?01 芯啟源創始人、董事長兼CEO盧笙出席此次大會并表示:“未來,芯啟源將開放和貢獻自有RISC-V技術和實踐經驗,全力支持國內RISC-V技術標準化和產業生態協同,將芯啟源DPU生態圈與RISC-V產業生態進行融合創新。同時,芯啟

2023-09-02 標簽:edaDPURISC-VRISC-V處理器芯啟源 660

Codasip攜CORDIC加速器技術白皮書亮相RISC-V...

始創于2018年的RISC-V峰會,從北美發展到中國再到歐洲大陸。自2023年起,北美,中國和歐洲三大峰會開始“三足鼎立”,進而輻射覆蓋到全球RISC-V市場。Codasip自2018年起便作為北美峰會的贊助商與大家見面,2021年起也開始作為中國峰會的贊助商,助力RISC-V本土化進程,至今已經走過了三個年頭??梢哉f我們是看著RISC-V中國峰會從無到有的,經歷過疫情最為艱難的時期,從不放棄,從初始到壯大再到2023年的盛況空前。 逾2000的訪客,18家企業展商,16家社區

2023-09-01 標簽:嵌入式CORDICCORDIC算法RISC-Vcodasip 528

2nm芯片設計成本曝光

隨著 2014 年 FinFET 晶體管的推出,芯片設計成本開始飆升,近年來隨著 7 納米和 5 納米級工藝技術的發展,芯片設計成本尤其高。

2023-09-01 標簽:晶圓芯片設計晶體管人工智能FinFET 644

思爾芯全面的數字EDA解決方案賦能芯片設計

作為芯片之母,EDA是芯片設計的關鍵工具,直接左右芯片性能、質量、生產效率及成本。

2023-08-31 標簽:EDA工具芯片設計 418

加速創“芯” 西門子EDA技術峰會在滬舉辦

8月24日,西門子EDA的年度盛會 —— 2023 Siemens EDA Forum在上海浦東拉開帷幕。此次峰會是西門子EDA闊別三年線下之后的再度回歸,會議以“加速創芯,智領未來”為主題,聚焦AI 應用、汽車芯片、SoC、3D IC 及電路板系統技術等熱點話題,分享西門子EDA的最新技術成果,并邀請多位行業專家、技術先鋒、合作伙伴匯聚一堂,共同探討全球半導體與集成電路(IC)產業的發展趨勢與技術創新之道。

2023-08-27 標簽:西門子eda汽車芯片3D IC 264

電賽速報 | 普源精電(RIGOL)助力2023年TI杯全國...

?2023年8月2日-5日,TI杯全國大學生電子設計競賽在全國31個省市賽區如火如荼地展開。該賽事賽題公布后吸引了全國1134所院校,20939個學生隊伍,共計62817名學生報名參賽。各省市賽區在完成初步測評及綜合測評后,將推薦優秀團隊參加在山東大學舉行的2023年TI杯全國大學生電子設計競賽全國復測。 ? 普源精電作為TI杯全國大學生電子設計競賽全國復測的指定測評儀器合作方,已經陪伴各位參賽選手完成了分賽區的賽事。普源精電在多所高校及多個分賽

2023-08-23 標簽:電子設計普源精電 401

奇捷科技(Easy-Logic)被評為2023亞太十佳電子設...

奇捷科技(Easy-Logic)被評為2023亞太十佳電子設計自動化(EDA)解決方案提供商...

2023-08-17 標簽:asiceda電子設計自動化 727

全球首次實現單芯片光子IC

美國研究人員首次將超低噪聲激光器(ultralow-noise lasers)和光子波導(photonic waveguides)集成到單個芯片上。這一期待已久的成就可以使在單個集成設備中使用原子鐘和其他量子技術進行高精度實驗成為可能,從而消除在某些應用中對房間大小的光學平臺的需求。

2023-08-10 標簽:二極管激光器單芯片晶體管CMOS技術 304

傳吉利或者放棄自研芯片 裁撤所有應屆生

芯片研發真的太燒錢,已經有幾家廠商放棄了自研芯片,5月OPPO旗下的芯片公司哲庫解散,現在又出現傳言,吉利旗下星紀魅族將調整芯片業務或會放棄掉自研芯片。 星紀魅族是吉利旗下星紀時代與魅族融合組建的公司,承載著吉利智能出行的期望,包括智能座艙、手機與汽車智能交互、在開發車機系統等,傳言星紀魅族或將解散芯片研究院,同時有傳言會進行裁員,今年應屆生或被全部優化,只會留下一小部分老員工。當然員工也會有內部轉崗分流方

2023-08-09 標簽:芯片魅族吉利XR 358

芯片市場回暖?DDIC成為芯片市場回暖的先鋒

進入2023下半年,全球電子半導體業仍處于低迷狀態,絕大多數應用需求不振導致上游的芯片元器件市場表現不佳。

2023-08-08 標簽:驅動器薄膜晶體管半導體芯片AI芯片OLED顯示技術 723

編輯推薦廠商產品技術軟件/工具OS/語言教程專題

亚洲欧美日韩精品久久_久久精品AⅤ无码中文_日本中文字幕有码在线播放_亚洲视频高清不卡在线观看
<acronym id="s8ci2"><small id="s8ci2"></small></acronym>
<rt id="s8ci2"></rt><rt id="s8ci2"><optgroup id="s8ci2"></optgroup></rt>
<acronym id="s8ci2"></acronym>
<acronym id="s8ci2"><center id="s8ci2"></center></acronym>