<acronym id="s8ci2"><small id="s8ci2"></small></acronym>
<rt id="s8ci2"></rt><rt id="s8ci2"><optgroup id="s8ci2"></optgroup></rt>
<acronym id="s8ci2"></acronym>
<acronym id="s8ci2"><center id="s8ci2"></center></acronym>
0
  • 聊天消息
  • 系統消息
  • 評論與回復
登錄后你可以
  • 下載海量資料
  • 學習在線課程
  • 觀看技術視頻
  • 寫文章/發帖/加入社區
會員中心
創作中心

完善資料讓更多小伙伴認識你,還能領取20積分哦,立即完善>

3天內不再提示

標簽 > I2S

I2S

+關注 0人關注

I2S總線, 又稱 集成電路內置音頻總線,是飛利浦公司為數字音頻設備之間的音頻數據傳輸而制定的一種總線標準,該總線專門用于音頻設備之間的數據傳輸,廣泛應用于各種多媒體系統。

文章: 23
視頻: 9
瀏覽: 41495
帖子: 197

I2S簡介

  I2S(Inter—IC Sound)總線, 又稱 集成電路內置音頻總線,是飛利浦公司為數字音頻設備之間的音頻數據傳輸而制定的一種總線標準,該總線專門用于音頻設備之間的數據傳輸,廣泛應用于各種多媒體系統。它采用了沿獨立的導線傳輸時鐘與數據信號的設計,通過將數據和時鐘信號分離,避免了因時差誘發的失真,為用戶節省了購買抵抗音頻抖動的專業設備的費用。

  I2S(Inter-IC Sound)是飛利浦公司針對數字音頻設備(如CD播放器、數碼音效處理器、數字電視音響系統)之間的音頻數據傳輸而制定的一種總線標準。它采用了獨立的導線傳輸時鐘與數據信號的設計,通過將數據和時鐘信號分離,避免了因時差誘發的失真,為用戶節省了購買抵抗音頻抖動的專業設備的費用。標準的I2S總線電纜是由3根串行導線組成的:1根是時分多路復用(簡稱TDM)數據線;1根是字選擇線;1根是時鐘線。

I2S百科

  I2S(Inter—IC Sound)總線, 又稱 集成電路內置音頻總線,是飛利浦公司為數字音頻設備之間的音頻數據傳輸而制定的一種總線標準,該總線專門用于音頻設備之間的數據傳輸,廣泛應用于各種多媒體系統。它采用了沿獨立的導線傳輸時鐘與數據信號的設計,通過將數據和時鐘信號分離,避免了因時差誘發的失真,為用戶節省了購買抵抗音頻抖動的專業設備的費用。

  I2S(Inter-IC Sound)是飛利浦公司針對數字音頻設備(如CD播放器、數碼音效處理器、數字電視音響系統)之間的音頻數據傳輸而制定的一種總線標準。它采用了獨立的導線傳輸時鐘與數據信號的設計,通過將數據和時鐘信號分離,避免了因時差誘發的失真,為用戶節省了購買抵抗音頻抖動的專業設備的費用。標準的I2S總線電纜是由3根串行導線組成的:1根是時分多路復用(簡稱TDM)數據線;1根是字選擇線;1根是時鐘線。

  I2S總線概述

  音響數據的采集、處理和傳輸是多媒體技術的重要組成部分。眾多的數字音頻系統已經進入消費市場,例如數字音頻錄音帶、數字聲音處理器。對于設備和生產廠家來說,標準化的信息傳輸結構可以提高系統的適應性。I2S(Inter—IC Sound)總線是飛利浦公司為數字音頻設備之間的音頻數據傳輸而制定的一種總線標準,該總線專責于音頻設備之間的數據傳輸,廣泛應用于各種多媒體系統。它采用了沿獨立的導線傳輸時鐘與數據信號的設計,通過將數據和時鐘信號分離,避免了因時差誘發的失真,為用戶節省了購買抵抗音頻抖動的專業設備的費用。

  I2S總線規范

  在飛利浦公司的I2S標準中,既規定了硬件接口規范,也規定了數字音頻數據的格式。

  I2S有3個主要信號

  1.串行時鐘SCLK,也叫位時鐘(BCLK),即對應數字音頻的每一位數據,SCLK都有1個脈沖。SCLK的頻率=2×采樣頻率×采樣位數。2. 幀時鐘LRCK,(也稱WS),用于切換左右聲道的數據。LRCK為“1”表示正在傳輸的是右聲道的數據,為“0”則表示正在傳輸的是左聲道的數據。LRCK的頻率等于采樣頻率。3.串行數據SDATA,就是用二進制補碼表示的音頻數據。有時為了使系統間能夠更好地同步,還需要另外傳輸一個信號MCLK,稱為主時鐘,也叫系統時鐘(Sys Clock),是采樣頻率的256倍或384倍。

  串行數據(SD)

  I2S格式的信號無論有多少位有效數據,數據的最高位總是出現在LRCK變化(也就是一幀開始)后的第2個SCLK脈沖處。這就使得接收端與發送端的有效位數可以不同。如果接收端能處理的有效位數少于發送端,可以放棄數據幀中多余的低位數據;如果接收端能處理的有效位數多于發送端,可以自行補足剩余的位。這種同步機制使得數字音頻設備的互連更加方便,而且不會造成數據錯位。隨著技術的發展,在統一的 I2S接口下,出現了多種不同的數據格式。根據SDATA數據相對于LRCK和SCLK的位置不同,分為左對齊(較少使用)、I2S格式(即飛利浦規定的格式)和右對齊(也叫日本格式、普通格式)。為了保證數字音頻信號的正確傳輸,發送端和接收端應該采用相同的數據格式和長度。當然,對I2S格式來說數據長度可以不同。

  字段(聲道)選擇(WS)

  命令選擇線表明了正在被傳輸的聲道。WS=0,表示正在傳輸的是左聲道的數據。WS=1,表示正在傳輸的是右聲道的數據。WS可以在串行時鐘的上升沿或者下降沿發生改變,并且WS信號不需要一定是對稱的。在從屬裝置端,WS在時鐘信號的上升沿發生改變。WS總是在最高位傳輸前的一個時鐘周期發生改變,這樣可以使從屬裝置得到與被傳輸的串行數據同步的時間,并且使接收端存儲當前的命令以及為下次的命令清除空間。電氣規范:輸出電壓:VL 《0.4VVH》2.4V輸入電壓VIL《0.8VVIH》2.0V注:這是使用的TTL電平標準,隨著其他IC(LSI)的流行,其他電平也會支持。

  時序要求

  在I2s總線中,任何設備都可以通過提供必需的時鐘信號成為系統的主導裝置,而從屬裝置通過外部時鐘信號來得到它的內部時鐘信號,這就意味著必須重視主導裝置和數據以及命令選擇信號之間的傳播延遲,總的延遲主要由兩部分組成:1.外部時鐘和從屬裝置的內部時鐘之間的延遲2.內部時鐘和數據信號以及命令選擇信號之間的延遲對于數據和命令信號的輸入,外部時鐘和內部時鐘的延遲不占據主導地位,它只是延長了有效的建立時間(set—up time)。延遲的主要部分是發送端的傳輸延遲和設置接收端所需的時間。T是時鐘周期,Tr是最小允許時鐘周期,T》Tr這樣發送端和接收端才能滿足數據傳輸速率的要求。對于所有的數據速率,發送端和接收端均發出一個具有固定的傳號空號比(mark—space ratio)的時鐘信號,所以t LC和tHC是由T所定義的。 t LC和tHC必須大于0.35T,這樣信號在從屬裝置端就可以被檢測到。延遲(tdtr)和最快的傳輸速度(由Ttr定義)是相關的,快的發送端信號在慢的時鐘上升沿可能導致tdtr不能超過tRC而使thtr為零或者負。只有tRC不大于tRCmax的時候(tRCmax》:0.15T),發送端才能保證thtr大于等于0。為了允許數據在下降沿被記錄,時鐘信號上升沿及T相關的時間延遲應該給予接收端充分的建立時間(set-up time)。數據建立時間(set-up time)和保持時間(hold time)不能小于指定接收端的建立時間和保持時間。

  I2S總線結構配置

  隨著WS信號的改變,導出一個WSP脈沖信號,進入并行移位寄存器,從而輸出數據被激活。串行數據的默認輸入是0,因此所有位于最低位(LSB)后的數據將被設置為0。

  隨著第一個WS信號的改變,WSP在SCK信號的下降沿重設計數器。在“1 out of n”譯碼器對計數器數值進行譯碼后,第一個串行的數據(MSB)在SCK時鐘信號的上升沿被存放進入B1,隨著計數器的增長,接下來的數據被依次存放進入B2到Bn中。在下一個WS信號改變的時候,數據根據WSP脈沖的變化被存放進入左(聲道)鎖存器或者右(聲道)鎖存器,并且將B2一Bn的數據清除以及計數器重設,如果有冗余的數據則最低位之后的數據將被忽略。注意:譯碼器和計數器(虛線內的部分)可以被一個n比特移位寄存器所代替。

  IIS總線接口可作為一個編碼解碼接口與外部8/16位的立體聲音頻解碼電路(CODEC IC)相連,從而實現微唱片和便攜式應用。它支持IIS數據格式和MSB-Justified 數據格式。IIS總線接口為先進先出隊列FIFO的訪問提供DMA傳輸模式來取代中斷模式,可同時發送和接收數據,也可只發送或接收數據。

查看詳情

i2s知識

展開查看更多

i2s技術

i.MX RT600 BCLK受干擾影響WS頻率解決方案

i.MX RT600 BCLK受干擾影響WS頻率解決方案

客戶使用i.MX RT600 I2S產生2通道的I2S波形,配置希望輸出I2S波形: 48Khz 采樣率,32bit, 2通道, BCLK輸出3.072...

2023-09-14 標簽:mcu恩智浦WS 715 0

雅特力AT32 MCU SPI/I2S入門指南

雅特力AT32 MCU SPI/I2S入門指南

AT32的SPI接口提供軟件編程配置選項,根據軟件編程配置方式不同,可以分別作為SPI和I2S使用。 本文將分SPI和I2S分別介紹SPI接口作SPI或...

2023-03-08 標簽:mcu接口SPI 4063 0

I2S通信總線的特點及常見數據格式介紹

I2S(Inter-IC Sound)總線,又稱集成電路內置音頻總線,是飛利浦公司為數字音頻設備之間的音頻數據傳輸而制定的一種總線標準。采用了獨立的導線...

2022-12-09 標簽:集成電路I2C通信總線 3618 0

數字音頻信號如何傳輸:I2S協議

I2S(Inter—IC Sound)總線, 又稱集成電路內置音頻總線,是飛利浦公司為數字音頻設備之間的音頻數據傳輸而制定的一種總線標準。采用沿獨立的導...

2022-12-05 標簽:總線I2S數字音頻信號 4721 0

基于MM32F5270控制器的I2S音頻播放

MM32F5270 系列控制器支持 I2S 總線接口,本章節在接下來會對 MM32F5270 I2S進行介紹,并使用 MM32F5270 和 CS434...

2022-09-16 標簽:控制器總線接口I2S 1632 0

基于ESP32的網絡收音機

基于ESP32的網絡收音機

模擬收音機在這個數字世界中逐漸消失,因為今天從電影到歌曲的所有內容都直接從互聯網流式傳輸。讓我們看看如何通過利用一些簡單的數字電子設備、編碼和有效的互聯...

2022-08-02 標簽:網絡收音機I2SESP32 9883 0

I2S音頻總線基本知識科普

I2S音頻總線基本知識科普

I2S(Inter—IC Sound)總線, 又稱集成電路內置音頻總線,是飛利浦公司為數字音頻設備之間的音頻數據傳輸而制定的一種總線標準。

2022-04-12 標簽:音頻總線I2S 2.7萬 0

數字接口I2S數據傳輸模式和應用框圖

數字接口I2S數據傳輸模式和應用框圖

I2S(Inter-IC Sound)總線,是Philips公司為數字音頻傳輸制定的總線標準。

2020-10-12 標簽:數字接口I2S 7805 0

音頻總線I2S協議:I2S收發模塊FPGA的仿真設計

音頻總線I2S協議:I2S收發模塊FPGA的仿真設計

1 概述 I2S(Inter—IC Sound)總線, 又稱 集成電路內置音頻總線,是飛利浦公司為數字音頻設備之間的音頻數據傳輸而制定的一種總線標準,該...

2020-12-14 標簽:fpgaI2S 7742 0

I2S接口概述和與DSP的連接方法

I2S接口概述和與DSP的連接方法

I2S或I2S(Inter-IC Sound或Integrated Interchip Sound)是由飛利浦公司(現NXP)在1986年制定的一種用于...

2020-01-27 標簽:dsp接口i2s 2.4萬 0

查看更多>>

i2s資訊

I2S、TDM、PCM音頻總線

I2S包括兩個聲道(Left/Right)的數據,在主設備發出聲道選擇/字選擇(WS)控制下進行左右聲道數據切換。通過增加I2S接口的數目或其它I2S設...

2022-09-20 標簽:數據信號I2S時鐘信號 3181 0

I2S數字功放為什么會成為趨勢

I2S數字功放為什么會成為趨勢

ACM8625在性能上完美替代了TAS5805

2021-11-18 標簽:數字功放I2S 3342 0

基于FPGA的PCI-I2S音頻系統設計

基于FPGA的PCI-I2S音頻系統設計

提出了一種基于FPGA實現的PCI-I2S音頻系統方法。通過在FPGA中將PCI軟核、FIFO以及設計的接口電路等相結合,在FPGA上實現了 PCI、I...

2017-11-17 標簽:fpgapcii2s 2708 0

Silicon Labs推出業界首款USB轉I2S音訊橋接晶片

Silicon Laboratories (芯科實驗室有限公司)宣布推出業界首款無需外部石英震蕩器的USB轉I2S音訊橋接晶片,支援基于USB的音訊應用...

2012-10-19 標簽:芯科實驗室I2S視音頻芯片 4279 0

基于FPGA和AD1836的I2S接口設計

基于FPGA和AD1836的I2S接口設計

I2S(Inter IC Sound Bus)是飛利浦公司為數字音頻設備之間的音頻數據傳輸而制定的一種總線標準,它既規定了硬件接口規范,也規定了數字音頻...

2011-03-29 標簽:FPGAAD1836I2S 6242 1

查看更多>>

i2s數據手冊

相關標簽

相關話題

換一批
  • 高云半導體
    高云半導體
    +關注
    廣東高云半導體科技股份有限公司提供編程設計軟件、IP核、參考設計、演示板等服務的完整FPGA芯片解決方案。
  • Zedboard
    Zedboard
    +關注
    ZedBoard是基于Xilinx Zynq?-7000擴展式處理平臺(EPP)的低成本開發板。此板可以運行基于Linux,Android,Windows?或其他OS/ RTOS的設計。
  • SoC FPGA
    SoC FPGA
    +關注
  • 簡單PLD
    簡單PLD
    +關注
  • UltraScale
    UltraScale
    +關注
  • 邏輯芯片
    邏輯芯片
    +關注
    邏輯芯片又叫可編程邏輯器件,英文全稱為:programmable logic device 即 PLD。PLD是做為一種通用集成電路產生的,他的邏輯功能按照用戶對器件編程來確定。一般的PLD的集成度很高,足以滿足設計一般的數字系統的需要。
  • 16nm
    16nm
    +關注
  • 三人表決器
    三人表決器
    +關注
  • Samtec
    Samtec
    +關注
    Samtec(申泰)公司是一家總部位于美國,致力于研發和生產高速數據通信連接器的供應商,Samtec連接器使用100%液晶聚合物以及純磷青銅和鈹銅制造,擁有軍品級的技術參數,其產品包括各種通用標準的連接器以及通信線纜,并且為客戶提供解決方案。
  • NCO
    NCO
    +關注
  • HLS
    HLS
    +關注
    HLS(HTTP Live Streaming)是Apple的動態碼率自適應技術。主要用于PC和Apple終端的音視頻服務。包括一個m3u(8)的索引文件,TS媒體分片文件和key加密串文件。
  • 顯示模塊
    顯示模塊
    +關注
  • 信息娛樂系統
    信息娛樂系統
    +關注
  • 京微雅格
    京微雅格
    +關注
      京微雅格(北京)科技有限公司致力于為系統制造商提供高集成度、高靈活性、高性價比的可編程邏輯器件、可重構微處理器及相關軟件設計工具
  • 智能魔鏡
    智能魔鏡
    +關注
    隨著物聯網技術的發展,搭載這一技術的家電也越來越多的出現,今年十分火熱的智能音箱就是物聯網技術和人工智能結合的代表,智能魔鏡這種基安防,終端,自動化,人工智能的物聯網產品已經成為了不可阻擋的趨勢,在未來,將更加全面、智能、便捷的走進越來越多人們的生活。
  • Cyclone V
    Cyclone V
    +關注
  • iCE40
    iCE40
    +關注
      為了滿足市場需求,萊迪思發布了iCE40 Ultra?產品系列。據萊迪思總裁兼CEO Darin G. Billerbeck介紹,相比競爭對手的解決方案,iCE40 Ultra FPGA在提供5倍更多功能的同時減小了30%的尺寸。并且相比以前的器件,功耗降低高達75%。
  • 空中客車
    空中客車
    +關注
    空中客車公司(Airbus,又稱空客、空中巴士),是歐洲一家飛機制造 、研發公司,1970年12月于法國成立。 空中客車公司的股份由歐洲宇航防務集團公司(EADS)100%持有。
  • 工業電機
    工業電機
    +關注
  • 諧振變換器
    諧振變換器
    +關注
    諧振變換器主要包括三種基本的類型:串聯諧振變換器(SRC)、并聯諧振變換器(PRC)和串并聯諧振變換器(SPRC)。諧振變換器由開關網絡Ns、諧振槽路NT、整流電路NR、低通濾波器NF等部分組成。
  • Digilent
    Digilent
    +關注
  • efpga
    efpga
    +關注
    eFPGA,全稱為嵌入式FPGA(Embedded FPGA),顧名思義是將類似于FPGA的可編程邏輯陣列“嵌入”到ASIC或SoC中。
  • 國產FPGA
    國產FPGA
    +關注
  • 圖像信號處理器
    圖像信號處理器
    +關注
  • UltraScale架構
    UltraScale架構
    +關注
  • 時鐘驅動器
    時鐘驅動器
    +關注
  • 數字預失真
    數字預失真
    +關注
  • TMS320C6416
    TMS320C6416
    +關注
  • BB-Black
    BB-Black
    +關注
  • 數字秒表
    數字秒表
    +關注

關注此標簽的用戶(1人)

liuyongwangzi

編輯推薦廠商產品技術軟件/工具OS/語言教程專題

亚洲欧美日韩精品久久_久久精品AⅤ无码中文_日本中文字幕有码在线播放_亚洲视频高清不卡在线观看
<acronym id="s8ci2"><small id="s8ci2"></small></acronym>
<rt id="s8ci2"></rt><rt id="s8ci2"><optgroup id="s8ci2"></optgroup></rt>
<acronym id="s8ci2"></acronym>
<acronym id="s8ci2"><center id="s8ci2"></center></acronym>