<acronym id="s8ci2"><small id="s8ci2"></small></acronym>
<rt id="s8ci2"></rt><rt id="s8ci2"><optgroup id="s8ci2"></optgroup></rt>
<acronym id="s8ci2"></acronym>
<acronym id="s8ci2"><center id="s8ci2"></center></acronym>
0
  • 聊天消息
  • 系統消息
  • 評論與回復
登錄后你可以
  • 下載海量資料
  • 學習在線課程
  • 觀看技術視頻
  • 寫文章/發帖/加入社區
會員中心
創作中心

完善資料讓更多小伙伴認識你,還能領取20積分哦,立即完善>

3天內不再提示

標簽 > VHDL語言

VHDL語言簡介

  VHDL 的英文全名是VHSIC Hardware Description Language(VHSIC硬件描述語言)。VHSIC是Very High Speed Integrated Circuit的縮寫,是20世紀80年代在美國國防部的資助下始創的,并最終導致了VHDL語言的出現。1987 年底,VHDL被 IEEE 和美國國防部確認為標準硬件描述語言。VHDL主要用于描述數字系統的結構,行為,功能和接口。除了含有許多具有硬件特征的語句外,VHDL的語言形式和描述風格與句法是十分類似于一般的計算機高級語言。VHDL的程序結構特點是將一項工程設計,或稱設計實體(可以是一個元件,一個電路模塊或一個系統)分成外部(或稱可視部分,及端口)和內部(或稱不可視部分),既涉及實體的內部功能和算法完成部分。在對一個設計實體定義了外部界面后,一旦其內部開發完成后,其他的設計就可以直接調用這個實體。這種將設計實體分成內外部分的概念是VHDL系統設計的基本點。

VHDL語言百科

  VHDL 的英文全名是VHSIC Hardware Description Language(VHSIC硬件描述語言)。VHSIC是Very High Speed Integrated Circuit的縮寫,是20世紀80年代在美國國防部的資助下始創的,并最終導致了VHDL語言的出現。1987 年底,VHDL被 IEEE 和美國國防部確認為標準硬件描述語言。VHDL主要用于描述數字系統的結構,行為,功能和接口。除了含有許多具有硬件特征的語句外,VHDL的語言形式和描述風格與句法是十分類似于一般的計算機高級語言。VHDL的程序結構特點是將一項工程設計,或稱設計實體(可以是一個元件,一個電路模塊或一個系統)分成外部(或稱可視部分,及端口)和內部(或稱不可視部分),既涉及實體的內部功能和算法完成部分。在對一個設計實體定義了外部界面后,一旦其內部開發完成后,其他的設計就可以直接調用這個實體。這種將設計實體分成內外部分的概念是VHDL系統設計的基本點。

  特點

  VHDL 語言能夠成為標準化的硬件描述語言并獲得廣泛應用,它自身必然具有很多其他硬件描述語言所不具備的優點。歸納起來,VHDL 語言主要具有以下優點:

 ?。?) VHDL 語言功能強大,設計方式多樣

  VHDL 語言具有強大的語言結構,只需采用簡單明確的VHDL語言程序就可以描述十分復雜的硬件電路。同時,它還具有多層次的電路設計描述功能。此外,VHDL 語言能夠同時支持同步電路、異步電路和隨機電路的設計實現,這是其他硬件描述語言所不能比擬的。VHDL 語言設計方法靈活多樣,既支持自頂向下的設計方式,也支持自底向上的設計方法; 既支持模塊化設計方法,也支持層次化設計方法。

 ?。?) VHDL 語言具有強大的硬件描述能力

  VHDL 語言具有多層次的電路設計描述功能,既可描述系統級電路,也可以描述門級電路;描述方式既可以采用行為描述、寄存器傳輸描述或者結構描述,也可以采用三者的混合描述方式。同時,VHDL 語言也支持慣性延遲和傳輸延遲,這樣可以準確地建立硬件電路的模型。VHDL 語言的強大描述能力還體現在它具有豐富的數據類型。VHDL 語言既支持標準定義的數據類型,也支持用戶定義的數據類型,這樣便會給硬件描述帶來較大的自由度。

 ?。?) VHDL 語言具有很強的移植能力

  VHDL 語言很強的移植能力主要體現在: 對于同一個硬件電路的 VHDL 語言描述,它可以從一個模擬器移植到另一個模擬器上、從一個綜合器移植到另一個綜合器上或者從一個工作平臺移植到另一個工作平臺上去執行。

 ?。?) VHDL 語言的設計描述與器件無關

  采用 VHDL 語言描述硬件電路時,設計人員并不需要首先考慮選擇進行設計的器件。這樣做的好處是可以使設計人員集中精力進行電路設計的優化,而不需要考慮其他的問題。當硬件電路的設計描述完成以后,VHDL 語言允許采用多種不同的器件結構來實現。

 ?。?) VHDL 語言程序易于共享和復用

  VHDL 語言采用基于庫 ( library) 的設計方法。在設計過程中,設計人員可以建立各種可再次利用的模塊,一個大規模的硬件電路的設計不可能從門級電路開始一步步地進行設計,而是一些模塊的累加。這些模塊可以預先設計或者使用以前設計中的存檔模塊,將這些模塊存放在庫中,就可以在以后的設計中進行復用。

  由于 VHDL 語言是一種描述、模擬、綜合、優化和布線的標準硬件描述語言,因此它可以使設計成果在設計人員之間方便地進行交流和共享,從而減小硬件電路設計的工作量,縮短開發周期。

查看詳情

vhdl語言知識

展開查看更多

vhdl語言技術

例說Verilog HDL和VHDL區別

例說Verilog HDL和VHDL區別

Verilog和VHDL之間的區別將在本文中通過示例進行詳細說明。對優點和缺點的Verilog和VHDL進行了討論。

2023-12-20 標簽:NANDasicVHDL語言 986 0

如何使用SystemC做RTL和C/C++的聯合仿真呢?

如何使用SystemC做RTL和C/C++的聯合仿真呢?

當FPGA開發者需要做RTL和C/C++聯合仿真的時候,一些常用的方法包括使用MicroBlaze軟核,或者使用QEMU仿真ZYNQ的PS部分。

2023-12-13 標簽:VHDL語言RTLC++語言 515 0

SaberRD調用外部C程序仿真步驟

SaberRD調用外部C程序仿真步驟

Saber不僅支持MAST語言和VHDL-AMS語言建立模型,也支持C語言建立器件模型,這對熟悉C語言編程的用戶帶來了很大的方便和實用。采用C語言建立的...

2023-12-06 標簽:仿真器VHDL語言C語言 642 0

在SaberRD中導出FMU的步驟詳解

在SaberRD中導出FMU的步驟詳解

FMI聯合仿真為聯合仿真環境中仿真工具的耦合提供了接口標準。子系統之間的數據交換僅限于離散的通信點。

2023-12-06 標簽:連接器仿真器VHDL語言 650 0

在SaberRD中進行FPGA的系統仿真

在SaberRD中進行FPGA的系統仿真

在自動化領域,FPGA(現場可編程門陣列)的作用越來越重要。這些設備構成控制單元的大腦,控制單元包含控制系統各種功能的邏輯。

2023-12-05 標簽:fpga連接器RGB 663 0

如何在Saber中使用C語言進行建模呢?

如何在Saber中使用C語言進行建模呢?

Saber不僅支持MAST語言和VHDL‐AMS語言建立模型,也支持C語言建立器件模型,這對熟悉C語言編程的用戶帶來了很大的方便和實用。采用C語言建立的...

2023-12-05 標簽:Linux系統VHDL語言C語言 679 0

請問Saber是如何將MOR電熱模型轉換為MAST模型的?

請問Saber是如何將MOR電熱模型轉換為MAST模型的?

系統級仿真是產品開發的重要組成部分,這種仿真包括與設備模型相結合的電路組件。

2023-12-05 標簽:PCB板晶體管VHDL語言 591 0

SaberRD狀態機建模工具介紹(一)什么是狀態機建模

SaberRD狀態機建模工具介紹(一)什么是狀態機建模

狀態機建模是使用狀態圖和方程式的手段,創建基于混合信號的有限狀態機模型的一種建模工具。

2023-12-05 標簽:VHDL語言狀態機邏輯控制 674 0

RTL仿真中X態行為的傳播—從xprop說起

RTL仿真中X態行為的傳播—從xprop說起

在使用VCS進行仿真時,工程師們常常會面對一個極為重要且充滿挑戰的問題——X態傳播行為。

2023-12-04 標簽:仿真器VHDL語言RTL 776 0

數據流式編程在硬件設計中的應用

數據流式編程在硬件設計中的應用

數據流式編程(Dataflow Programming)是一種存在已久的程序設計范式,可以追溯到19世紀60年代,由MIT的Jack Dennis教授開創。

2023-10-31 標簽:DSP技術labview接收機 510 0

查看更多>>

vhdl語言資訊

模型機控制信號產生邏輯VHDL

模型機控制信號產生邏輯VHDL 引言: 隨著科技的發展,數字系統的設計越來越重要。在數字系統設計的過程中,模型機控制信號的產生邏輯是一個非常重要的方面。...

2023-09-19 標簽:VHDL語言時序電路門電路 410 0

Timer測試方案 Timer測試平臺實現 測試平臺debug注意事項

Timer測試方案 Timer測試平臺實現 測試平臺debug注意事項

IC驗證,一般也稱“功能驗證”,我們今天要講的,不是這個,是它的簡化版:模塊測試,是設計工程師完成代碼設計后,需要自己做的這部分驗證工作。IC驗證,我們...

2023-07-14 標簽:寄存器IC設計VHDL語言 496 0

VHDL語言

一個完整的VHDL程序包括實體(Entity),結構體(Architecture),配置(Configuration),包集合(Package),庫(L...

2022-11-09 標簽:VHDL語言 4179 0

什么是vhdl語言_簡述vhdl語言的特點

什么是vhdl語言 VHDL 的英文全名是VHSIC Hardware Description Language(VHSIC硬件描述語言)。VHSIC是...

2020-04-23 標簽:VHDL語言 1.1萬 0

vhdl語言的操作符_vhdl語言有什么用

vhdl語言的操作符_vhdl語言有什么用

VHDL是一種用來描述數字邏輯系統的“編程語言”。它通過對硬件行為的直接描述來實現對硬件的物理實現,代表了當今硬件設計的發展方向。VHDL是為了滿足邏輯...

2020-04-23 標簽:VHDL語言 2598 0

vhdl語言怎么仿真_vhdl語言的基本結構

在VHDL程序中,實體(ENTITY)和結構體(ARCHITECTURE)這兩個基本結構是必須的,他們可以構成最簡單的VHDL程序。通常,最簡單的VHD...

2020-04-23 標簽:VHDL語言 4400 0

vhdl語言和c語言區別大嗎?差異性體現在哪兒

vhdl語言和c語言區別大嗎?差異性體現在哪兒

相信對vhdl語言和c語言區別也有了一定的了解,并且它們兩者之間的區別還是挺大的,下面我們詳細細數一下它們的區別。

2017-11-09 標簽:vhdl語言c語言 2.0萬 0

簡述BSDL邊界掃描語言,BSDL邊界掃描語言的應用

簡述BSDL邊界掃描語言,BSDL邊界掃描語言的應用

BSDL邊界掃描語言的邊界掃描是一個完善的測試技術。 邊界掃描在自當聯合測試行動組(JTAG)90年代初發明了一種解決方案來測試使用了許多新的印刷電路,...

2017-04-19 標簽:vhdl語言vhdlc++ 8110 0

通過實例,走近PLD

通過實例,走近PLD

電子發燒友網: PLD設計,相信對很多人而言都不陌生。當然也有對它不是那么了解的人,那么即使你沒有深入接觸過PLD,我們也可以讓你可以在短短的幾十分鐘內...

2012-06-08 標簽:VHDL語言FPGA芯片 2088 0

基于VHDL語言對高速A/D器件TLC5510控制的實現

--TLC5510 VHDL 控制程序 --文件名:TLC5510.vhd --功能:基于VHDL語言,實現對高速A/D器件TLC5510控制 --最后...

2012-05-22 標簽:VHDL語言TLC5510芯片 1354 0

查看更多>>

vhdl語言數據手冊

相關標簽

相關話題

換一批
  • Protues
    Protues
    +關注
    Proteus軟件是英國Lab Center Electronics公司出版的EDA工具軟件(該軟件中國總代理為廣州風標電子技術有限公司)。它不僅具有其它EDA工具軟件的仿真功能,還能仿真單片機及外圍器件。
  • 靜電防護
    靜電防護
    +關注
    為防止靜電積累所引起的人身電擊、火災和爆炸、電子器件失效和損壞,以及對生產的不良影響而采取的防范措施。其防范原則主要是抑制靜電的產生,加速靜電泄漏,進行靜電中和等。
  • Altium Designer
    Altium Designer
    +關注
  • FPGA芯片
    FPGA芯片
    +關注
    FPGA(Field-Programmable Gate Array),即現場可編程門陣列,它是在PAL、GAL、CPLD等可編程器件的基礎上進一步發展的產物。
  • ArduBlock
    ArduBlock
    +關注
    ArduBlock軟件是Arduino官方編程環境的第三方軟件,目前必須依附于Arduino軟件下運行,區別于Arduino文本式編程環境,ArduBlock是以圖形化積木搭建的方式編程的,這樣的方式會使編程的可視化和交互性加強,編程門檻降低,即使沒有編程經驗的人也可以嘗試給Arduino控制器編寫程序。
  • AD10
    AD10
    +關注
  • 識別
    識別
    +關注
  • FPGA開發板
    FPGA開發板
    +關注
    FPGA開發板在基于MCU、定制ASIC和體積龐大的電線束來實現引擎及控制電子的系統方案已發展至接近其技術和應用極限,汽車工業正面臨新的設計挑戰。過去汽車電子產品的開發周期是漫長的,而許多汽車制造商現正致力于在更短的時間內,裝備消費者所需的新一代汽車。
  • PCB封裝
    PCB封裝
    +關注
    pcb封裝就是把 實際的電子元器件,芯片等的各種參數(比如元器件的大小,長寬,直插,貼片,焊盤的大小,管腳的長寬,管腳的間距等)用圖形方式表現出來,以便可以在畫pcb圖時進行調用。
  • QUARTUS II
    QUARTUS II
    +關注
    Quartus II 是Altera公司推出的綜合性CPLD/FPGA開發軟件,軟件支持原理圖、VHDL、VerilogHDL以及AHDL(Altera Hardware 支持Description Language)等多種設計輸入形式,內嵌自有的綜合器以及仿真器,可以完成從設計輸入到硬件配置的完整PLD設計流程。
  • PCB封裝庫
    PCB封裝庫
    +關注
  • 語音交互
    語音交互
    +關注
  • AD09
    AD09
    +關注
  • PDN
    PDN
    +關注
  • QuickPcb
    QuickPcb
    +關注
  • Artix-7
    Artix-7
    +關注
      Artix-7 系列:相對于 Spartan-6 系列而言,Artix-7 系列功耗降低了一半, 成本降低了 35%,采用小型化封裝、統一的 Virtex 系列架構,能滿足低成本大批量市場的性能要求,這也正是此前 ASSP、ASIC 和低成本 FPGA 所針對的市場領域。
  • VHDL代碼
    VHDL代碼
    +關注
  • Protel 99 se
    Protel 99 se
    +關注
  • powerlink
    powerlink
    +關注
  • candence
    candence
    +關注
  • 面包板
    面包板
    +關注
    面包板是由于板子上有很多小插孔,專為電子電路的無焊接實驗設計制造的。由于各種電子元器件可根據需要隨意插入或拔出,免去了焊接,節省了電路的組裝時間,而且元件可以重復使用,所以非常適合電子電路的組裝、調試和訓練。
  • 特性阻抗
    特性阻抗
    +關注
    特性阻抗又稱特征阻抗,它不是直流電阻,屬于長線傳輸中的概念。特性阻抗是射頻傳輸線影響無線電波電壓、電流的幅值和相位變化的固有特性,等于各處的電壓與電流的比值,用V/I表示。在射頻電路中,電阻、電容、電感都會阻礙交變電流的流動,合稱阻抗。電阻是吸收電磁能量的,理想電容和電感不消耗電磁能量。
  • AXI
    AXI
    +關注
    AXI是一種總線協議,該協議是ARM公司提出的AMBA3.0協議中最重要的部分,是一種面向高性能、高帶寬、低延遲的片內總線。它的地址/控制和數據相位是分離的,支持不對齊的數據傳輸,同時在突發傳輸中,只需要首地址,同時分離的讀寫數據通道、并支持Outstanding傳輸訪問和亂序訪問,并更加容易進行時序收斂。AXI是AMBA中一個新的高性能協議。
  • 驅動電流
    驅動電流
    +關注
  • FPGA教程
    FPGA教程
    +關注
  • 時鐘源
    時鐘源
    +關注
    時鐘源用來為環形脈沖發生器提供頻率穩定且電平匹配的方波時鐘脈沖信號。它通常由石英 晶體振蕩器和與非門組成的正反饋振蕩電路組成,其輸出送至環形脈沖發生器。
  • Kintex-7
    Kintex-7
    +關注
      Kintex-7系列:Kintex-7 系列是一種新型 FPGA,能以不到 Virtex-6 系列一半的價格實現與其相當性能,性價比提高了一倍,功耗降低了一半。
  • 紅外觸摸屏
    紅外觸摸屏
    +關注
  • Protel DXP
    Protel DXP
    +關注
  • AD采樣
    AD采樣
    +關注
      AD轉換采樣頻率指完成一次從模擬轉換到數字的AD轉換所需時間的倒數,模擬量可以是電壓、電流等電信號,也可以是壓力、溫度、濕度、位移、聲音等非電信號;而AD分辨率指數字量變化一個最小量時模擬信號的變化量。

關注此標簽的用戶(1人)

哭泣灬的刀

編輯推薦廠商產品技術軟件/工具OS/語言教程專題

亚洲欧美日韩精品久久_久久精品AⅤ无码中文_日本中文字幕有码在线播放_亚洲视频高清不卡在线观看
<acronym id="s8ci2"><small id="s8ci2"></small></acronym>
<rt id="s8ci2"></rt><rt id="s8ci2"><optgroup id="s8ci2"></optgroup></rt>
<acronym id="s8ci2"></acronym>
<acronym id="s8ci2"><center id="s8ci2"></center></acronym>