<acronym id="s8ci2"><small id="s8ci2"></small></acronym>
<rt id="s8ci2"></rt><rt id="s8ci2"><optgroup id="s8ci2"></optgroup></rt>
<acronym id="s8ci2"></acronym>
<acronym id="s8ci2"><center id="s8ci2"></center></acronym>
0
  • 聊天消息
  • 系統消息
  • 評論與回復
登錄后你可以
  • 下載海量資料
  • 學習在線課程
  • 觀看技術視頻
  • 寫文章/發帖/加入社區
會員中心
創作中心

完善資料讓更多小伙伴認識你,還能領取20積分哦,立即完善>

3天內不再提示

標簽 > 電子眼

電子眼

+關注0人關注

文章:10 瀏覽:6507 帖子:1

電子眼技術

電子眼對車輛沖紅燈的檢測方法

高速路上的電子測速以前大多是雷達測速,利用電磁波的多普勒效應,測速儀發射一定頻率的無線電波,如果是被靜止的物體反射回來,無線電波的頻率保持不變,但如果反...

2018-11-16 標簽:探測器壓力傳感器電子眼 3778 0

電子眼可通過傳感器感知路上汽車的壓力 往后出行無壓力

電子眼通過傳感線感知路上汽車的壓力,并通過傳感器收集信號。在相同的時間間隔,例如,一個紅光循環,如果兩個脈沖信號同時產生,就被認為是有效的。

2018-10-08 標簽:傳感器電子眼 1499 0

來學習下電子眼的抓拍原理

近年來,隨著智慧城市智能交通建設的進一步完善,馬路上電子眼變得隨處可見,為交通安全提供保障的同時,對駕駛員違法行為進行有效管理規范。

2015-10-04 標簽:電子監控電子眼 1822 0

查看更多>>

電子眼資料下載

查看更多>>

電子眼資訊

高德地圖電子眼服務全新升級,新增抓拍種類和罰單高發提醒

1月7日消息,近日,高德地圖電子眼服務進行全新升級,并進一步強化在行駛中對用戶的安全提醒。 更新后的電子眼新增抓拍駕車不系安全帶、駕車接打電話、人行橫道...

2021-01-07 標簽:升級電子眼高德地圖 1.3萬 0

北京上線1112個電子眼,專抓拍交通違法行為

日前,我們從北京市公安局公安交通管理局官網獲悉,根據公安部《非現場查處道路交通安全違法行為操作規程(試行)》(公交管〔2020〕73 號)第三章第一節第...

2020-12-03 標簽:智慧交通電子眼 2140 0

廣目法眼系統:具有國內首家支持數十萬級別的本地人臉比對庫

這套名為“廣目法眼”的系統其實是一個具有豐富硬件性能的平臺,通過支持兼容各種不同硬件來滿足不同場景的使用需求,也可幫助已有執法儀的職能部門進行改造升級。

2019-04-04 標簽:人臉識別電子眼 6792 0

以色列部署信道滅火裝置--電子眼

據《以色列時報》報道,面對來自加沙地帶的一波空中縱火襲擊,以色列部署了一套系統,可以發現空中縱火氣球和風箏,追蹤其進程,然后將消防員引導至著陸點,使他們...

2018-07-11 標簽:無人機電子眼 3003 0

哈佛大學研究人員開發了一種電子假眼

哈佛大學的科學家已經開發了一些他們比喻成人造眼的東西,該設備是一種具有適應性的電子控制假眼。這種成為 metalens 的電子假眼可以同時控制模糊圖像的...

2018-03-06 標簽:光學變焦電子眼 4787 0

探訪考場防作弊系統:全程GPS監控與定位考卷

電子發燒友早八點訊:今日,全國有940萬考生將迎來2017高考。目前各項準備工作已經全部就緒。

2017-06-08 標簽:gps電子眼 2020 0

谷歌新專利用電子眼替換晶狀體:能矯正近視眼還能拍照

谷歌新專利用電子眼替換晶狀體:能矯正近視眼還能拍照

  谷歌申請了一項新技術專利,可以將電子眼直接植入用戶眼球。谷歌多年以來一直在開發智能眼鏡和智能隱形眼鏡,但該公司的最新專利技術則會采用更直接的方式實現...

2016-05-05 標簽:谷歌電子眼晶狀體 816 0

查看更多>>

電子眼數據手冊

編輯推薦廠商產品技術軟件/工具OS/語言教程專題

電機控制 DSP 氮化鎵 功率放大器 ChatGPT 自動駕駛 TI 瑞薩電子
BLDC PLC 碳化硅 二極管 OpenAI 元宇宙 安森美 ADI
無刷電機 FOC IGBT 逆變器 文心一言 5G 英飛凌 羅姆
直流電機 PID MOSFET 傳感器 人工智能 物聯網 NXP 賽靈思
步進電機 SPWM 充電樁 IPM 機器視覺 無人機 三菱電機 ST
伺服電機 SVPWM 光伏發電 UPS AR 智能電網 國民技術 Microchip
瑞薩 沁恒股份 全志 國民技術 瑞芯微 兆易創新 芯??萍?/a> Altium
德州儀器 Vishay Micron Skyworks AMS TAIYOYUDEN 納芯微 HARTING
adi Cypress Littelfuse Avago FTDI Cirrus LogIC Intersil Qualcomm
st Murata Panasonic Altera Bourns 矽力杰 Samtec 揚興科技
microchip TDK Rohm Silicon Labs 圣邦微電子 安費諾工業 ixys Isocom Compo
安森美 DIODES Nidec Intel EPSON 樂鑫 Realtek ERNI電子
TE Connectivity Toshiba OMRON Sensirion Broadcom Semtech 旺宏 英飛凌
Nexperia Lattice KEMET 順絡電子 霍尼韋爾 pulse ISSI NXP
Xilinx 廣瀨電機 金升陽 君耀電子 聚洵 Liteon 新潔能 Maxim
MPS 億光 Exar 菲尼克斯 CUI WIZnet Molex Yageo
Samsung 風華高科 WINBOND 長晶科技 晶導微電子 上海貝嶺 KOA Echelon
Coilcraft LRC trinamic
放大器 運算放大器 差動放大器 電流感應放大器 比較器 儀表放大器 可變增益放大器 隔離放大器
時鐘 時鐘振蕩器 時鐘發生器 時鐘緩沖器 定時器 寄存器 實時時鐘 PWM 調制器
視頻放大器 功率放大器 頻率轉換器 揚聲器放大器 音頻轉換器 音頻開關 音頻接口 音頻編解碼器
模數轉換器 數模轉換器 數字電位器 觸摸屏控制器 AFE ADC DAC 電源管理
線性穩壓器 LDO 開關穩壓器 DC/DC 降壓轉換器 電源模塊 MOSFET IGBT
振蕩器 諧振器 濾波器 電容器 電感器 電阻器 二極管 晶體管
變送器 傳感器 解析器 編碼器 陀螺儀 加速計 溫度傳感器 壓力傳感器
電機驅動器 步進驅動器 TWS BLDC 無刷直流驅動器 濕度傳感器 光學傳感器 圖像傳感器
數字隔離器 ESD 保護 收發器 橋接器 多路復用器 氮化鎵 PFC 數字電源
開關電源 步進電機 無線充電 LabVIEW EMC PLC OLED 單片機
5G m2m DSP MCU ASIC CPU ROM DRAM
NB-IoT LoRa Zigbee NFC 藍牙 RFID Wi-Fi SIGFOX
Type-C USB 以太網 仿真器 RISC RAM 寄存器 GPU
語音識別 萬用表 CPLD 耦合 電路仿真 電容濾波 保護電路 看門狗
CAN CSI DSI DVI Ethernet HDMI I2C RS-485
SDI nas DMA HomeKit 閾值電壓 UART 機器學習 TensorFlow
Arduino BeagleBone 樹莓派 STM32 MSP430 EFM32 ARM mbed EDA
示波器 LPC imx8 PSoC Altium Designer Allegro Mentor Pads
OrCAD Cadence AutoCAD 華秋DFM Keil MATLAB MPLAB Quartus
C++ Java Python JavaScript node.js RISC-V verilog Tensorflow
Android iOS linux RTOS FreeRTOS LiteOS RT-THread uCOS
DuerOS Brillo Windows11 HarmonyOS
林超文PCB設計:PADS教程,PADS視頻教程 鄭振宇老師:Altium Designer教程,Altium Designer視頻教程
張飛實戰電子視頻教程 朱有鵬老師:海思HI3518e教程,HI3518e視頻教程
李增老師:信號完整性教程,高速電路仿真教程 華為鴻蒙系統教程,HarmonyOS視頻教程
賽盛:EMC設計教程,EMC視頻教程 杜洋老師:STM32教程,STM32視頻教程
唐佐林:c語言基礎教程,c語言基礎視頻教程 張飛:BUCK電源教程,BUCK電源視頻教程
正點原子:FPGA教程,FPGA視頻教程 韋東山老師:嵌入式教程,嵌入式視頻教程
張先鳳老師:C語言基礎視頻教程 許孝剛老師:Modbus通訊視頻教程
王振濤老師:NB-IoT開發視頻教程 Mill老師:FPGA教程,Zynq視頻教程
C語言視頻教程 RK3566芯片資料合集
朱有鵬老師:U-Boot源碼分析視頻教程 開源硬件專題
亚洲欧美日韩精品久久_久久精品AⅤ无码中文_日本中文字幕有码在线播放_亚洲视频高清不卡在线观看
<acronym id="s8ci2"><small id="s8ci2"></small></acronym>
<rt id="s8ci2"></rt><rt id="s8ci2"><optgroup id="s8ci2"></optgroup></rt>
<acronym id="s8ci2"></acronym>
<acronym id="s8ci2"><center id="s8ci2"></center></acronym>