<acronym id="s8ci2"><small id="s8ci2"></small></acronym>
<rt id="s8ci2"></rt><rt id="s8ci2"><optgroup id="s8ci2"></optgroup></rt>
<acronym id="s8ci2"></acronym>
<acronym id="s8ci2"><center id="s8ci2"></center></acronym>
0
  • 聊天消息
  • 系統消息
  • 評論與回復
登錄后你可以
  • 下載海量資料
  • 學習在線課程
  • 觀看技術視頻
  • 寫文章/發帖/加入社區
會員中心
創作中心

完善資料讓更多小伙伴認識你,還能領取20積分哦,立即完善>

3天內不再提示

基本的時序約束和STA操作流程

麻辣軟硬件 ? 來源:博客園 ? 作者:沒落騎士 ? 2021-08-10 09:33 ? 次閱讀

一、前言

無論是FPGA應用開發還是數字IC設計,時序約束和靜態時序分析(STA)都是十分重要的設計環節。在FPGA設計中,可以在綜合后和實現后進行STA來查看設計是否能滿足時序上的要求。本文闡述基本的時序約束和STA操作流程。內容主要來源于《Vivado從此開始》這本書,我只是知識的搬運工。

二、時序約束與XDC腳本

時序約束的目的就是告訴工具當前的時序狀態,以讓工具盡量優化時序并給出詳細的分析報告。一般在行為仿真后、綜合前即創建基本的時序約束。Vivado使用SDC基礎上的XDC腳本以文本形式約束。以下討論如何進行最基本時序約束相關腳本。

1 時序約束首要任務是創建主時鐘,主時鐘即為時鐘引腳進入時鐘信號或高速收發器生成時鐘。[create_clock]

create_clock -name clk_name -period N -waveform {pos_time neg_time} [get_ports port_name] (劃線部分可選)

創建兩個異步的主時鐘:

create_clock -name clk_a -period 10 [get_ports clk_a]

create_clock -name clk_b -period 15 [get_ports clk_b]

set_clock_groups -asynchronous -group clk_a -group clk_b

當兩個主時鐘是異步關系,它們生成時鐘同樣是異步關系:

set_clock_groups -asynchronous -group [get_clocks clk_a -include_generated_clocks]

-group [get_clocks clk_b -include_generated_clocks]

差分時鐘僅約束P端口

create_clock -name clk -period 10 [get_ports clk_p]

高速收發器生成時鐘作為主時鐘:

create_clock -name gt0_txclk -period 8 [get_pins GT0/。。。/TXOUTCLK]

有一種特殊情況無需與具體引腳綁定,即創建虛擬時鐘。該約束用于設定輸入/輸出延遲。需要創建虛擬時鐘的場景是輸入FPGA的數據由FPGA內部產生時鐘采樣,如串口通信。

create_clock -name clk_v -period 5

2 創建主時鐘后,需要約束生成時鐘:[create_generated_clock]

生成時鐘分為兩種。由PLL MMCM等專用時鐘單元生成產生的時鐘信號,Vivado會自動產生相關約束。還有一種是自定義生成時鐘,一般為邏輯分頻得到。

時鐘源是時鐘端口:

create_generated_clock -name clk_div -source [get_ports clk] -divide_by 2 [get_pins rega/Q] 意思是在rega單元的Q引腳上的時鐘信號clk_div是由clk經過2分頻得到的生成時鐘。

時鐘源是引腳:

create_generated_clock -name clk_div -source [get_pins rega/C] -divide_by 2 [get_pins rega/Q]

除了使用-divide_by -multiply_by表示主時鐘和生成時鐘的頻率關系,也可以用 -edges實現更精確的表達:

create_generated_clock -name clk_div -source [get_pins rega/C] -edges {1 3 5} [get_pins rega/Q]

相移關系使用-edge_shift命令描述。

該約束命令還常用于重命名時鐘信號:

create_generated_clock -name clk_rename [get_pins clk_gen/。。。/CLKOUT0]

3 創建時鐘組:[set_clock_groups]

a. 異步時鐘情況:

set_clock_groups -asynchronous -group clk_a -group clk_b clk_a和clk_b是異步時鐘。

b. 物理互斥情況:

create_clock -name clk_a -period 10 [get_ports clk]

create_clock -name clk_b -period 8 [get_ports clk] -add

create_clock -name clk_c -period 5 [get_ports clk] -add

set_clock_groups -physically_exclusive -group clk_a -group clk_b -group clk_c

該種情況僅是為了觀察clk引腳時鐘信號周期依次為10ns 8ns和5ns時,時序是否收斂。因此這三個時鐘物理上不同時存在。

c. 邏輯互斥情況:

set_clock_groups -logically_exclusive

-group [get_clocks -of [get_pins clk_core/。。。/CLKOUT0]] -group [get_clocks -of [get_pins clk_core/。。。/CLKOUT1]]

clkout0和clkout1送入到BUFGMUX中,后續根據sel信號確定選擇哪一個作為工作時鐘。此時clkout0和clkout1同時存在電路中,但僅有一個會作為后續電路工作時鐘,因此邏輯上互斥。

特殊用法:當asynchronous 的group只有一個,說明改組內時鐘是同步的,但與其他所以時鐘異步。

4 設置偽路徑:[set_false_path]

設置偽路徑后,不再對特殊路徑進行時序分析。特殊路徑如測試邏輯、添加同步電路后的跨時鐘域路徑等。在兩個時鐘域之間應該相互設置為set_false:

set_false_path -from [get_clocks clk_a] -to [get_clocks clk_b]

set_false_path -from [get_clocks clk_b] -to [get_clocks clk_a]

5 常用時鐘相關命令:

report_clocks:查看創建的所有時鐘

report_property [get_clocks 《clk_name》]:查看時鐘clk_name的屬性

report_clock_network:查看時鐘的生成關系網絡

report_clock_interaction:查看時鐘交互關系

其中最后一項非常重要,經常被用于查看異步時鐘域之間的路徑是否安全。若存在不安全路徑,需要添加同步、握手或FIFO后,設置為異步時鐘組或false_path。

鍵入該命令后,會生成時鐘交互矩陣。對角線是每個時鐘內部路徑,其他非黑色部分即為存在對應兩個時鐘的跨時鐘域路徑。紅色部分是非安全路徑,若不處理會產生亞穩態。

三、查看時序報告(STA)

本節以一個有很多時序問題的工程為例進行講解。在綜合后即可打開時序概要查看時序報告。

打開后有如下界面:

博客園

其中Design Timing Summary是時序概況,包括最大延遲分析、最小延遲分析以及脈沖寬度三個部分。其中WNS或WHS為負數,說明當前設計無法滿足建立時間或保持時間要求,也就是說數據無法被穩定采樣。

Clock Summary內的信息與使用report_clocks TCL腳本調出來的信息相似,包含了全部已創建的時鐘信號。Check Timing部分則包含了未被約束的部分,我們可以根據該部分信息進一步添加必要的約束。Intra-Clock Paths和Inter-Clock Paths則分別描述了同步和異步電路時序路徑的裕量參數。

點擊WNS或WHS后的數值可以直接找到時序裕量最差的路徑:

博客園

雙擊路徑信息所在行任意位置,界面會跳轉到該路徑的詳細信息界面:

博客園

四類時序路徑中,除了FPGA輸入端口到輸出端口這一特殊情況外,其他時序路徑均由源時鐘路徑、數據路徑和目的時鐘路徑三部分構成。上表中各項的具體解釋見官方文檔UG908.

本文說明了時序約束和STA的關系,基本時序約束情形及相應的XDC腳本。之后簡單介紹了如何在VIVADO中查看時序報告來分析時序問題。后續會以網絡通信中常見的RGMII接口設計實例闡述I/O延遲約束部分。

編輯:jq

聲明:本文內容及配圖由入駐作者撰寫或者入駐合作網站授權轉載。文章觀點僅代表作者本人,不代表電子發燒友網立場。文章及其配圖僅供工程師學習之用,如有內容侵權或者其他違規問題,請聯系本站處理。 舉報投訴
  • FPGA
    +關注

    關注

    1610

    文章

    21374

    瀏覽量

    595031
  • STA
    STA
    +關注

    關注

    0

    文章

    51

    瀏覽量

    18868
  • Vivado
    +關注

    關注

    18

    文章

    791

    瀏覽量

    65413

原文標題:VIVADO時序約束及STA基礎

文章出處:【微信號:VOSDeveloper,微信公眾號:麻辣軟硬件】歡迎添加關注!文章轉載請注明出處。

收藏 人收藏

    評論

    相關推薦

    Xilinx FPGA編程技巧之常用時序約束詳解

    時鐘頻率、相位的情況下約束數據路徑的最大延時。 異步時鐘域使用的約束方法的流程為: 為源寄存器定義時序組 為目的寄存器定義時序組 使用F
    發表于 04-12 17:39

    FPGA的約束設計和時序分析

    FPGA/CPLD的綜合、實現過程中指導邏輯的映射和布局布線。下面主要總結一下Xilinx FPGA時序約束設計和分析。
    發表于 09-21 07:45

    FPGA I/O口時序約束講解

    前面講解了時序約束的理論知識FPGA時序約束理論篇,本章講解時序約束實際使用。
    發表于 08-14 18:22 ?1062次閱讀
    FPGA I/O口<b class='flag-5'>時序</b><b class='flag-5'>約束</b>講解

    FPGA時序約束時序路徑和時序模型

    時序路徑作為時序約束時序分析的物理連接關系,可分為片間路徑和片內路徑。
    發表于 08-14 17:50 ?577次閱讀
    FPGA<b class='flag-5'>時序</b><b class='flag-5'>約束</b>之<b class='flag-5'>時序</b>路徑和<b class='flag-5'>時序</b>模型

    請問時序約束文件SDC支持哪些約束?

    時序約束文件SDC支持哪些約束?
    發表于 08-11 09:27

    時序約束連載03~約束步驟總結

    本小節對時序約束做最終的總結
    的頭像 發表于 07-11 17:18 ?443次閱讀
    <b class='flag-5'>時序</b><b class='flag-5'>約束</b>連載03~<b class='flag-5'>約束</b>步驟總結

    時序約束連載02~時序例外

    本文繼續講解時序約束的第四大步驟——時序例外
    的頭像 發表于 07-11 17:17 ?492次閱讀
    <b class='flag-5'>時序</b><b class='flag-5'>約束</b>連載02~<b class='flag-5'>時序</b>例外

    時序約束出現時序違例(Slack為負數),如何處理?

    時序約束出現時序違例(Slack為負數),如何處理?
    的頭像 發表于 07-10 15:47 ?3888次閱讀

    淺談時序設計和時序約束

    ??本文主要介紹了時序設計和時序約束。
    的頭像 發表于 07-04 14:43 ?929次閱讀

    時序約束怎么用?時序約束到底是要干嘛?

    很多小伙伴開始學習時序約束的時候第一個疑惑就是標題,有的人可能會疑惑很久。不明白時序約束是什么作用,更不明白怎么用。
    的頭像 發表于 06-28 15:10 ?1091次閱讀
    <b class='flag-5'>時序</b><b class='flag-5'>約束</b>怎么用?<b class='flag-5'>時序</b><b class='flag-5'>約束</b>到底是要干嘛?

    STA-0.靜態時序分析概述

    靜態時序分析(Static Timing Analysis, 以下統一簡稱 **STA** )是驗證數字集成電路時序是否合格的一種方法,其中需要進行大量的數字計算,需要依靠工具進行,但是我們必須了解其中的原理。
    的頭像 發表于 06-27 11:43 ?643次閱讀
    <b class='flag-5'>STA</b>-0.靜態<b class='flag-5'>時序</b>分析概述

    如何在Vivado中添加時序約束呢?

    今天介紹一下,如何在Vivado中添加時序約束,Vivado添加約束的方法有3種:xdc文件、時序約束向導(Constraints Wiza
    的頭像 發表于 06-26 15:21 ?2558次閱讀
    如何在Vivado中添加<b class='flag-5'>時序</b><b class='flag-5'>約束</b>呢?

    FPGA時序約束的原理是什么?

    FPGA開發過程中,離不開時序約束,那么時序約束是什么?簡單點說,FPGA芯片中的邏輯電路,從輸入到輸出所需要的時間,這個時間必須在設定的時鐘周期內完成,更詳細一點,即需要滿足建立和保
    發表于 06-26 14:42 ?423次閱讀
    FPGA<b class='flag-5'>時序</b><b class='flag-5'>約束</b>的原理是什么?

    FPGA設計-時序約束(理論篇)

    STA(Static Timing Analysis,即靜態時序分析)在實際FPGA設計過程中的重要性是不言而喻的
    發表于 06-26 09:01 ?420次閱讀
    FPGA設計-<b class='flag-5'>時序</b><b class='flag-5'>約束</b>(理論篇)

    如何在Vivado中添加時序約束

    前面幾篇文章已經詳細介紹了FPGA時序約束基礎知識以及常用的時序約束命令,相信大家已經基本掌握了時序約束
    的頭像 發表于 06-23 17:44 ?1576次閱讀
    如何在Vivado中添加<b class='flag-5'>時序</b><b class='flag-5'>約束</b>
    亚洲欧美日韩精品久久_久久精品AⅤ无码中文_日本中文字幕有码在线播放_亚洲视频高清不卡在线观看
    <acronym id="s8ci2"><small id="s8ci2"></small></acronym>
    <rt id="s8ci2"></rt><rt id="s8ci2"><optgroup id="s8ci2"></optgroup></rt>
    <acronym id="s8ci2"></acronym>
    <acronym id="s8ci2"><center id="s8ci2"></center></acronym>