<acronym id="s8ci2"><small id="s8ci2"></small></acronym>
<rt id="s8ci2"></rt><rt id="s8ci2"><optgroup id="s8ci2"></optgroup></rt>
<acronym id="s8ci2"></acronym>
<acronym id="s8ci2"><center id="s8ci2"></center></acronym>
0
  • 聊天消息
  • 系統消息
  • 評論與回復
登錄后你可以
  • 下載海量資料
  • 學習在線課程
  • 觀看技術視頻
  • 寫文章/發帖/加入社區
會員中心
創作中心

完善資料讓更多小伙伴認識你,還能領取20積分哦,立即完善>

3天內不再提示

淺談時序設計和時序約束

CHANBAEK ? 來源:新芯設計 ? 作者:新芯設計 ? 2023-07-04 14:43 ? 次閱讀

引言

??本文主要介紹了時序設計和時序約束。

一、時序設計(Timing Design)

??電路設計的難點在時序設計,時序設計的實質就是滿足每一個觸發器的建立時間、保持時間的要求,從而達到時序收斂的過程,擴大一點地說,就是使得數據能夠在正確的時間到達從而正確地被處理,這個就要對設計的電路非常的熟悉。

二、時序收斂(Timing Closure):

??時序收斂是現場可編程邏輯門陣列、專用集成電路的電路設計過程中,通過調整、修改設計,從而使得所設計的電路滿足時序要求的過程。

三、時序約束(Timing Constraint):

??時序約束是規范設計的時序行為,主要包括周期約束,偏移約束,靜態時序路徑約束三種,通過附加時序約束,可以指導綜合工具和布局布線工具,使設計達到時序要求。

create_clock -period 10 waveform {0 5} [get_ports clk]

??時序約束的作用如下:

  • 提高設計的工作頻率(減少了邏輯和布線延時);
  • 獲得正確的時序分析報告(靜態時序分析工具以約束作為判斷時序是否滿足設計要求的標準,因此要求設計者正確輸入約束,以便靜態時序分析工具可以正確的輸出時序報告)。

四、時鐘設計(Clock Design):

??在通常的 FPGA 設計中對時鐘偏差的控制主要有以下幾種方法:

  • 控制時鐘信號盡量走可編程器件的的全局時鐘網絡。在可編程器件中一般都有專門的時鐘驅動器及全局時鐘網絡,不同種類、型號的可編程器件,它們中的全局時鐘網絡數量不同,因此要根據不同的設計需要選擇含有合適數量全局時鐘網絡的可編程器件。通常來說,走全局時鐘網絡的時鐘信號到各使用端的延時小,時鐘偏差很小,基本可以忽略不計。
  • 若設計中時鐘信號數量很多,無法讓所有的信號都走全局時鐘網絡,那么可以通過在設計中加約束的方法,控制不能走全局時鐘網絡的時鐘信號的時鐘偏差。

??FPGA 設計中對時鐘的正確操作(例如分頻等等)如下:

  • FPGA 芯片有固定的時鐘路由,這些路由能夠有效地減少時鐘抖動和時鐘偏差。需要對時鐘進行相移或變頻的時候,一般不允許對時鐘進行邏輯操作(即使是最基本的與或非也要避免),因為這樣不僅會增加時鐘的偏差和抖動,還會使時鐘帶上毛刺。
  • 一般的處理方法是采用 FPGA 芯片自帶的時鐘管理器如 PLL、DLL 或 DCM,或者把邏輯轉換到觸發器的 D 輸入(這些也是對時鐘邏輯操作的替代方案)。
聲明:本文內容及配圖由入駐作者撰寫或者入駐合作網站授權轉載。文章觀點僅代表作者本人,不代表電子發燒友網立場。文章及其配圖僅供工程師學習之用,如有內容侵權或者其他違規問題,請聯系本站處理。 舉報投訴
  • FPGA
    +關注

    關注

    1610

    文章

    21374

    瀏覽量

    595025
  • 觸發器
    +關注

    關注

    14

    文章

    1915

    瀏覽量

    60664
  • 時序設計
    +關注

    關注

    0

    文章

    21

    瀏覽量

    43902
  • 時序約束
    +關注

    關注

    1

    文章

    113

    瀏覽量

    13366
收藏 人收藏

    評論

    相關推薦

    VIVADO時序約束及STA基礎

    時序約束的目的就是告訴工具當前的時序狀態,以讓工具盡量優化時序并給出詳細的分析報告。一般在行為仿真后、綜合前即創建基本的時序
    的頭像 發表于 03-11 14:39 ?9043次閱讀

    FPGA的IO口時序約束分析

      在高速系統中FPGA時序約束不止包括內部時鐘約束,還應包括完整的IO時序約束時序例外
    發表于 09-27 09:56 ?1518次閱讀

    FPGA時序約束時序路徑和時序模型

    時序路徑作為時序約束時序分析的物理連接關系,可分為片間路徑和片內路徑。
    發表于 08-14 17:50 ?577次閱讀
    FPGA<b class='flag-5'>時序</b><b class='flag-5'>約束</b>之<b class='flag-5'>時序</b>路徑和<b class='flag-5'>時序</b>模型

    FPGA I/O口時序約束講解

    前面講解了時序約束的理論知識FPGA時序約束理論篇,本章講解時序約束實際使用。
    發表于 08-14 18:22 ?1062次閱讀
    FPGA I/O口<b class='flag-5'>時序</b><b class='flag-5'>約束</b>講解

    時序約束資料包

    好的時序是設計出來的,不是約束出來的時序就是一種關系,這種關系的基本概念有哪些?這種關系需要約束嗎?各自的詳細情況有哪些?約束的方法有哪些?
    發表于 08-01 16:45

    時序約束時序分析 ppt教程

    時序約束時序分析 ppt教程 本章概要:時序約束時序分析基礎常用
    發表于 05-17 16:08 ?0次下載

    時序約束用戶指南

    時序約束用戶指南包含以下章節: ?第一章“時序約束用戶指南引言” ?第2章“時序約束的方法” ?
    發表于 11-02 10:20 ?0次下載

    FPGA時序約束方法

    FPGA時序約束方法很好地資料,兩大主流的時序約束都講了!
    發表于 12-14 14:21 ?19次下載

    時序約束資料包】培訓課程Timing VIVADO

    好的時序是設計出來的,不是約束出來的 時序就是一種關系,這種關系的基本概念有哪些? 這種關系需要約束嗎? 各自的詳細情況有哪些? 約束的方法
    發表于 08-06 15:08 ?449次閱讀

    正點原子FPGA靜態時序分析與時序約束教程

    靜態時序分析是檢查芯片時序特性的一種方法,可以用來檢查信號在芯片中的傳播是否符合時序約束的要求。相比于動態時序分析,靜態
    發表于 11-11 08:00 ?60次下載
    正點原子FPGA靜態<b class='flag-5'>時序</b>分析與<b class='flag-5'>時序</b><b class='flag-5'>約束</b>教程

    FPGA設計之時序約束

    上一篇《FPGA時序約束分享01_約束四大步驟》一文中,介紹了時序約束的四大步驟。
    發表于 03-18 10:29 ?1427次閱讀
    FPGA設計之<b class='flag-5'>時序</b><b class='flag-5'>約束</b>

    如何在Vivado中添加時序約束

    前面幾篇文章已經詳細介紹了FPGA時序約束基礎知識以及常用的時序約束命令,相信大家已經基本掌握了時序約束
    的頭像 發表于 06-23 17:44 ?1575次閱讀
    如何在Vivado中添加<b class='flag-5'>時序</b><b class='flag-5'>約束</b>

    如何在Vivado中添加時序約束呢?

    今天介紹一下,如何在Vivado中添加時序約束,Vivado添加約束的方法有3種:xdc文件、時序約束向導(Constraints Wiza
    的頭像 發表于 06-26 15:21 ?2558次閱讀
    如何在Vivado中添加<b class='flag-5'>時序</b><b class='flag-5'>約束</b>呢?

    時序約束怎么用?時序約束到底是要干嘛?

    很多小伙伴開始學習時序約束的時候第一個疑惑就是標題,有的人可能會疑惑很久。不明白時序約束是什么作用,更不明白怎么用。
    的頭像 發表于 06-28 15:10 ?1091次閱讀
    <b class='flag-5'>時序</b><b class='flag-5'>約束</b>怎么用?<b class='flag-5'>時序</b><b class='flag-5'>約束</b>到底是要干嘛?

    時序約束連載02~時序例外

    本文繼續講解時序約束的第四大步驟——時序例外
    的頭像 發表于 07-11 17:17 ?492次閱讀
    <b class='flag-5'>時序</b><b class='flag-5'>約束</b>連載02~<b class='flag-5'>時序</b>例外
    亚洲欧美日韩精品久久_久久精品AⅤ无码中文_日本中文字幕有码在线播放_亚洲视频高清不卡在线观看
    <acronym id="s8ci2"><small id="s8ci2"></small></acronym>
    <rt id="s8ci2"></rt><rt id="s8ci2"><optgroup id="s8ci2"></optgroup></rt>
    <acronym id="s8ci2"></acronym>
    <acronym id="s8ci2"><center id="s8ci2"></center></acronym>