<acronym id="s8ci2"><small id="s8ci2"></small></acronym>
<rt id="s8ci2"></rt><rt id="s8ci2"><optgroup id="s8ci2"></optgroup></rt>
<acronym id="s8ci2"></acronym>
<acronym id="s8ci2"><center id="s8ci2"></center></acronym>
0
  • 聊天消息
  • 系統消息
  • 評論與回復
登錄后你可以
  • 下載海量資料
  • 學習在線課程
  • 觀看技術視頻
  • 寫文章/發帖/加入社區
會員中心
創作中心

完善資料讓更多小伙伴認識你,還能領取20積分哦,立即完善>

3天內不再提示

如何在Vivado中添加時序約束

CHANBAEK ? 來源:FPGA入門到精通 ? 作者:未可知摩爾 ? 2023-06-23 17:44 ? 次閱讀

前面幾篇文章已經詳細介紹了FPGA時序約束基礎知識以及常用的時序約束命令,相信大家已經基本掌握了時序約束的方法。

今天介紹一下,如何在Vivado中添加時序約束,Vivado添加約束的方法有3種:xdc文件、時序約束向導(Constraints Wizard)、時序約束編輯器(Edit Timing Constraints )

一、XDC文件

使用VIvado的“Source文件管理器”直接創建新的xdc文件或者添加已有的xdc文件。

具體步驟如下:

第一步:點擊“+”

wKgZomSP286APANYAAB3fF1WXeg526.jpg

第二步:選擇“Add or create constraints”,點擊“next”

wKgaomSP28-ADWHAAAEpcejbiBU212.jpg

第三步:如果是添加文件則點擊“Add Files”,如果是新建文件,則點擊“Create File”

wKgaomSP286AQt_iAAElizzJVkU880.jpg

第四步:如果是新建文件,則輸入文件名,點擊“OK”,再點擊“Finish”即可

wKgZomSP28-AEfR6AADpwrLyw0k214.jpg

這樣就可以,在source界面中的“Constraints”中看到新建的文件。

wKgaomSP286Abf21AACy1dLVH_4005.jpg

雙擊打開新建的xdc文件,寫入約束語句即可。

創建XDC文件的方式很靈活,但在添加約束時需要謹慎一些,因為一些錯誤的約束可能會導致電路出錯或性能下降。

二、時序約束向導(Constraints Wizard)

時序約束向導是Vivado提供的一個交互式添加約束工具。

可以幫助用戶通過簡單的設置界面來自動生成xdc文件中的TIMING約束。

第一步:將HDL代碼綜合

wKgaomSP2_GAXtJoAAA2ZlcDVXs856.png

?第二步:點擊VIvado左邊導航欄的“Synthesis”的“Constraints Wizard”。

wKgZomSP286AMUimAAA60rBspTQ639.jpg

?第三步:如果未創建xdc文件,vivado會提示新建新的xdc文件。

wKgZomSP28-AZ5YKAAA22FJ6CPk569.jpg

?第四步:進入時序約束向導界面

時序約束向導是按照主時鐘約束、衍生時鐘約束、輸入延遲約束、輸出延遲約束、時序例外約束、異步時鐘約束等的順序來依次創建時鐘約束的。

wKgaomSP28-ALnHsAADY5CtcpnQ613.jpg

?點擊下一步,這里只演示填入主時鐘,輸入時鐘周期,點擊“skip to finish”。

wKgZomSP28-AQJ8-AACQBHOQGxI005.jpg

?

wKgZomSP28-AM0tHAACHkM9jS_A599.jpg

?最后點擊finish,生成約束會自動保存到xdc文件中。

三、時序約束編輯器(Edit Timing Constraints )

時序約束編輯器是Vivado中提供的可視化界面,用于修改編輯在xdc文件的時序約束,用戶通過這個界面可以添加/刪除約束、調整約束優先級及修改約束屬性。

第一步:將HDL代碼綜合完后,點擊VIvado左邊導航欄的“Synthesis”的“Edit Timing Constraints”。

wKgZomSP286AMUimAAA60rBspTQ639.jpg

?

第二步:彈出編輯界面,如下圖所示,先在左側選擇時序約束類型,再點擊右側窗口的“+”

號,開始添加時序約束命令。

wKgaomSP28-AF1BWAAEMMNZekTs073.jpg

?

第三步,點擊紅框中“...”,打開搜索端口界面,再點擊“find”按鈕,自動彈出搜索到端口號。

wKgZomSP28-AaxEtAABKgKdxeO4839.jpg

?

wKgaomSP28-AW9W_AABeTGQRx44495.jpg

?

第四步:選中需要添加約束的端口,如“clk”,點擊右側箭頭,移動到“選中窗口”,再點擊“set”按鈕。

wKgaomSP28-AKfgGAAB6q-Qtiw4629.jpg

?

wKgZomSP28-AIvz0AABaalogZ18766.jpg

?

第五步:輸入約束端口名,并設置時鐘周期和占空比,點擊“OK”。

wKgaomSP28-AMSgaAABW_ZJVPfg387.jpg

?

第六步:按“ctrl+s”快捷鍵保存,最下方的預覽窗口會顯示設置的時鐘約束,而且會自動保存到xdc約束文件中。

wKgZomSP28-AascFAAFaAyK5lrY134.jpg

?

與直接xdc文件相比,時序約束編輯器提供了時序約束詳細的分類和說明,更容易理解和使用。

四、總結

本文分享了Vivado中常用的添加時序約束的方法,大家可以按照自己的習慣和喜好選擇方式即可。

聲明:本文內容及配圖由入駐作者撰寫或者入駐合作網站授權轉載。文章觀點僅代表作者本人,不代表電子發燒友網立場。文章及其配圖僅供工程師學習之用,如有內容侵權或者其他違規問題,請聯系本站處理。 舉報投訴
  • FPGA
    +關注

    關注

    1610

    文章

    21374

    瀏覽量

    595031
  • HDL
    HDL
    +關注

    關注

    8

    文章

    324

    瀏覽量

    47140
  • 編輯器
    +關注

    關注

    1

    文章

    793

    瀏覽量

    30600
  • 時序約束
    +關注

    關注

    1

    文章

    113

    瀏覽量

    13366
  • Vivado
    +關注

    關注

    18

    文章

    791

    瀏覽量

    65413
收藏 人收藏

    評論

    相關推薦

    VIVADO時序約束及STA基礎

    時序約束的目的就是告訴工具當前的時序狀態,以讓工具盡量優化時序并給出詳細的分析報告。一般在行為仿真后、綜合前即創建基本的時序
    的頭像 發表于 03-11 14:39 ?9043次閱讀

    FPGA主時鐘約束詳解 Vivado添加時序約束方法

    在FPGA設計中,時序約束的設置對于電路性能和可靠性都至關重要。在上一篇的文章中,已經詳細介紹了FPGA時序約束的基礎知識。
    發表于 06-06 18:27 ?8041次閱讀
    FPGA主時鐘<b class='flag-5'>約束</b>詳解 <b class='flag-5'>Vivado</b><b class='flag-5'>添加</b><b class='flag-5'>時序</b><b class='flag-5'>約束</b>方法

    如何給每個RM添加約束?對RM添加約束的步驟有哪些呢?

    在常規非DFX(Dynamic Function eXchange)的Vivado設計中,我們可能會碰到給某一個指定的模塊添加特定的約束。
    的頭像 發表于 08-17 09:22 ?522次閱讀
    如何給每個RM<b class='flag-5'>添加</b><b class='flag-5'>約束</b>?對RM<b class='flag-5'>添加</b><b class='flag-5'>約束</b>的步驟有哪些呢?

    時序警告,新手求問約束的問題

    小弟剛學習FPGA不久,僅僅學習了一個普通工作流,但對于時序約束什么的幾乎一無所知最近在編一個模塊時,VIVADO綜合實現出來Timing那里是紅色,WNS TNS為負值,只知道似乎是當前布線延遲
    發表于 09-06 20:08

    時序約束資料包

    、Vivado基本操作流程2、時序基本概念3、時序基本約束和流程4、Baselining時序約束
    發表于 08-01 16:45

    vivado時序分析與約束優化

    轉自:VIVADO時序分析練習時序分析在FPGA設計是分析工程很重要的手段,時序分析的原理和相關的公式小編在這里不再介紹,這篇文章是小編在
    發表于 08-22 11:45

    FPGA時序分析如何添加其他約束

    你好: 現在我使用xilinx FPGA進行設計。遇到問題。我不知道FPGA設計是否符合時序要求。我在設計添加了“時鐘”時序約束。我不知道
    發表于 03-18 13:37

    時序約束時序分析 ppt教程

    時序約束時序分析 ppt教程 本章概要:時序約束時序分析基礎常用
    發表于 05-17 16:08 ?0次下載

    Vivado中的靜態時序分析工具Timing Report的使用與規范

    過程必須以滿足XDC中的約束為目標來進行。那么: 如何驗證實現后的設計有沒有滿足時序要求? 如何在開始布局布線前判斷某些約束有沒有成功設置? 如何驗證
    發表于 11-17 18:03 ?3.5w次閱讀
    <b class='flag-5'>Vivado</b>中的靜態<b class='flag-5'>時序</b>分析工具Timing Report的使用與規范

    添加時序約束的技巧分析

    。 在添加全局時序約束時,需要根據時鐘頻率劃分不同的時鐘域,添加各自的周期約束;然后對輸入輸出端口信號
    發表于 11-25 09:14 ?2438次閱讀

    時序約束資料包】培訓課程Timing VIVADO

    來維持嗎? 1、Vivado基本操作流程 2、時序基本概念 3、時序基本約束和流程 4、Baselining時序
    發表于 08-06 15:08 ?449次閱讀

    Vivado進行時序約束的兩種方式

    上面我們講的都是xdc文件的方式進行時序約束,Vivado中還提供了兩種圖形界面的方式,幫我們進行時序約束
    的頭像 發表于 03-08 17:17 ?1.9w次閱讀
    <b class='flag-5'>Vivado</b>進行<b class='flag-5'>時序</b><b class='flag-5'>約束</b>的兩種方式

    Vivado設計約束功能概述

    XDC約束可以用一個或多個XDC文件,也可以用Tcl腳本實現;XDC文件或Tcl腳本都要加入到工程的某個約束集(set)中;雖然一個約束集可以同時添加兩種類型
    的頭像 發表于 06-30 11:27 ?3183次閱讀

    何在Vivado添加時序約束呢?

    今天介紹一下,如何在Vivado添加時序約束,Vivado
    的頭像 發表于 06-26 15:21 ?2558次閱讀
    如<b class='flag-5'>何在</b><b class='flag-5'>Vivado</b>中<b class='flag-5'>添加</b><b class='flag-5'>時序</b><b class='flag-5'>約束</b>呢?

    Vivado綜合階段什么約束生效?

    Vivado綜合默認是timing driven模式,除了IO管腳等物理約束,建議添加必要的時序約束,有利于綜合邏輯的優化,同時綜合后的de
    的頭像 發表于 07-03 09:03 ?488次閱讀
    亚洲欧美日韩精品久久_久久精品AⅤ无码中文_日本中文字幕有码在线播放_亚洲视频高清不卡在线观看
    <acronym id="s8ci2"><small id="s8ci2"></small></acronym>
    <rt id="s8ci2"></rt><rt id="s8ci2"><optgroup id="s8ci2"></optgroup></rt>
    <acronym id="s8ci2"></acronym>
    <acronym id="s8ci2"><center id="s8ci2"></center></acronym>