<acronym id="s8ci2"><small id="s8ci2"></small></acronym>
<rt id="s8ci2"></rt><rt id="s8ci2"><optgroup id="s8ci2"></optgroup></rt>
<acronym id="s8ci2"></acronym>
<acronym id="s8ci2"><center id="s8ci2"></center></acronym>

電子發燒友App

硬聲App

0
  • 聊天消息
  • 系統消息
  • 評論與回復
登錄后你可以
  • 下載海量資料
  • 學習在線課程
  • 觀看技術視頻
  • 寫文章/發帖/加入社區
創作中心

完善資料讓更多小伙伴認識你,還能領取20積分哦,立即完善>

3天內不再提示
電子發燒友網>電子資料下載>可編程邏輯>PCB 指南:快速理解高速layout設計資料下載

PCB 指南:快速理解高速layout設計資料下載

2021-04-04 | pdf | 597.33KB | 次下載 | 免費

資料介紹

隨著工業上對新型自動化、消費者對無線設備、醫療和航空航天等領域對技術發展的需求日益增長,這些領域對PCB的需求也在不斷升級。如果我們能緊跟需求,設計出更小且更復雜的電路板,便能實現PCB設計工具市場的增長。對于PCB設計人員而言,這意味著在設計方面所面臨的新挑戰比以往任何時候都多。 高速PCB的layout設計基于我們作為PCB設計人員已經掌握的技能。元器件的布局仍需要符合可制造性設計以及測試要求,而走線規劃仍將采用行業公認的寬度和間距設計規則。然而,本文提出了我們都需要熟悉的一些更嚴格的高速電路相關要求和設計實踐。我們將對其中部分進行詳細說明,幫助您快速理解高速layout設計。 從原理圖開始 有些人可能認為在高速PCB的layout設計中,原理圖是最無需擔心的,但我們有不同看法。除了將電路邏輯推及布局工具之外,原理圖一直以來都是電路物理layout的圖形表示。創建一個雜亂無序的原理圖只會增加布局的難度,因為電路的意圖沒有得到清楚傳達。談到高速設計,意圖清晰極為重要。 在高速設計原理圖中,無需擔心使用多張原理圖來展開電路。關鍵是要有邏輯地表示出電路流程,使之在物理設計布局時容易理解。對于信號路徑尤其如此,這些元器件和線網組共同構成一個完整的高速電路。為了在PCB上正確布局信號路徑,設計人員需要清楚了解其在原理圖上的實際路徑。 通過在原理圖中添加一些額外細節來進行幫助: 關鍵元器件的放置位置以及所處板側 特定元器件周圍的禁止布線區域 差分對布線信息 高速布線信息,例如對走線長度、匹配線長、拓撲結構和阻抗控制線的限制 盡量向原理圖中添加更多信息,以幫助闡明布局電路板的意圖。如果是由其他人為我們執行布局,這點則更為關鍵,同時還有助于我們保持條理。 對于高速設計,了解電路板的要求至關重要 板材和疊層 我們擁有的最重要的一大資源便是PCB制造商。開始進行一個新設計時,應盡快與廠方和組件供應商聯系。高速設計時,他們將能幫助我們做出最佳的板材和疊層決策。 進行電路板的疊層規劃時,還應該使用阻抗計算器。很多高級計算器都通過可以輸入板材和厚度來計算帶狀線和微帶線的走線寬度。 元器件高速layout指南 首先,高速設計中的元器件布局應遵循標準PCB布局實踐和設計規則。這意味著一如既往地按照DFM和DFT指南放置元器件。根據高速電路的路徑來布置元器件則更加復雜。 過去,我們可以根據需要自由地將元器件分散布置到電路板上,以便平衡布局或提供額外的布線空間,而現在則需要優先考慮電路路徑。高速電路中,通常需要將特定元器件放置的非常緊湊,從而最大程度減小信號的傳播距離。 另外,還需要遵循原理圖中列出的電路路徑,以確保關鍵線網的引腳連接最為直接。這通常會變成一場為保持DFM和DFT的標準布局規則的權衡,而這需要設計人員的專業技能來實現一種滿足所有要求的布局。 此外,放置元器件時,還需要注意避免信號走線穿過分離平面。對于高速設計,確保每個信號都有清晰的返回路徑更為重要。在設計中,還可能會遇到比正常情況下更大的散熱問題。這意味著,高速設計的降溫要求可能更高,因此需要考慮為成品設備送風,以防止元器件過熱。 在電路板設計時,采用智能高速布局可盡量減少設計問題的產生 布線高速PCB電路 在高速設計中,大量走線的布置都同以往一樣??赡艽嬖诘囊粋€區別便是走線之間的長度要求。一些走線會有最小長度要求,而另一些則可能有最大長度要求,甚至還可能需要與其他走線的長度相匹配。PCB設計CAD系統的功能可以幫助我們完成這樣的布線任務。對阻抗控制布線的走線寬度計算(在設置電路板疊層時首先檢查的走線)將有助于高速布線規則和屬性的設置。 最直接的走線路徑并不總是我們需要的布線方案。例如,所有連線可能需要采用菊花鏈式布線,而這將增加線網的總長度。此時,可能需要調整元器件的位置,以便更好地設置所需的高速走線。還需要進行高速傳輸線路的布線,這時需要注意整個信號路徑,而不僅僅是從集成電路驅動器引腳到電阻元件的走線。這是因為信號路徑貫穿從驅動器到接收器的所有元器件;也即所謂的擴展網或xnet。 設計高速電路板時,從一開始便需要考慮很多方面,是我們PCB設計人員對已經具備的技能的拓展。 Allegro? PCB Designer軟件 Allegro PCB設計工具可為我們提供大量幫助。借助這些工具,我們能夠輕松地布線差分對、匹配線長、高速拓撲結構和信號路徑。此外,Allegro PCB設計工具還擁有完整的設計規則,有助于我們在高速PCB設計中保持良好進展。 本文轉載自:Cadence楷登PCB及封裝資源中心(作者:Cadence楷登) 免責聲明:本文為轉載文章,轉載此文目的在于傳遞更多信息,版權歸原作者所有。本文所用視頻、圖片、文字如涉及作品版權問題,請聯系小編進行處理。 (mbbeetchina)
下載該資料的人也在下載 下載該資料的人還在閱讀
更多 >

評論

查看更多

下載排行

本周

  1. 1電子電路原理第七版PDF電子教材免費下載
  2. 0.00 MB  |  1491次下載  |  免費
  3. 2單片機典型實例介紹
  4. 18.19 MB  |  95次下載  |  1 積分
  5. 3S7-200PLC編程實例詳細資料
  6. 1.17 MB  |  27次下載  |  1 積分
  7. 4筆記本電腦主板的元件識別和講解說明
  8. 4.28 MB  |  18次下載  |  4 積分
  9. 5開關電源原理及各功能電路詳解
  10. 0.38 MB  |  11次下載  |  免費
  11. 6100W短波放大電路圖
  12. 0.05 MB  |  4次下載  |  3 積分
  13. 7基于單片機和 SG3525的程控開關電源設計
  14. 0.23 MB  |  4次下載  |  免費
  15. 8基于AT89C2051/4051單片機編程器的實驗
  16. 0.11 MB  |  4次下載  |  免費

本月

  1. 1OrCAD10.5下載OrCAD10.5中文版軟件
  2. 0.00 MB  |  234313次下載  |  免費
  3. 2PADS 9.0 2009最新版 -下載
  4. 0.00 MB  |  66304次下載  |  免費
  5. 3protel99下載protel99軟件下載(中文版)
  6. 0.00 MB  |  51209次下載  |  免費
  7. 4LabView 8.0 專業版下載 (3CD完整版)
  8. 0.00 MB  |  51043次下載  |  免費
  9. 5555集成電路應用800例(新編版)
  10. 0.00 MB  |  33562次下載  |  免費
  11. 6接口電路圖大全
  12. 未知  |  30320次下載  |  免費
  13. 7Multisim 10下載Multisim 10 中文版
  14. 0.00 MB  |  28588次下載  |  免費
  15. 8開關電源設計實例指南
  16. 未知  |  21539次下載  |  免費

總榜

  1. 1matlab軟件下載入口
  2. 未知  |  935053次下載  |  免費
  3. 2protel99se軟件下載(可英文版轉中文版)
  4. 78.1 MB  |  537793次下載  |  免費
  5. 3MATLAB 7.1 下載 (含軟件介紹)
  6. 未知  |  420026次下載  |  免費
  7. 4OrCAD10.5下載OrCAD10.5中文版軟件
  8. 0.00 MB  |  234313次下載  |  免費
  9. 5Altium DXP2002下載入口
  10. 未知  |  233046次下載  |  免費
  11. 6電路仿真軟件multisim 10.0免費下載
  12. 340992  |  191183次下載  |  免費
  13. 7十天學會AVR單片機與C語言視頻教程 下載
  14. 158M  |  183277次下載  |  免費
  15. 8proe5.0野火版下載(中文版免費下載)
  16. 未知  |  138039次下載  |  免費
亚洲欧美日韩精品久久_久久精品AⅤ无码中文_日本中文字幕有码在线播放_亚洲视频高清不卡在线观看
<acronym id="s8ci2"><small id="s8ci2"></small></acronym>
<rt id="s8ci2"></rt><rt id="s8ci2"><optgroup id="s8ci2"></optgroup></rt>
<acronym id="s8ci2"></acronym>
<acronym id="s8ci2"><center id="s8ci2"></center></acronym>