<acronym id="s8ci2"><small id="s8ci2"></small></acronym>
<rt id="s8ci2"></rt><rt id="s8ci2"><optgroup id="s8ci2"></optgroup></rt>
<acronym id="s8ci2"></acronym>
<acronym id="s8ci2"><center id="s8ci2"></center></acronym>

電子發燒友App

硬聲App

0
  • 聊天消息
  • 系統消息
  • 評論與回復
登錄后你可以
  • 下載海量資料
  • 學習在線課程
  • 觀看技術視頻
  • 寫文章/發帖/加入社區
創作中心

完善資料讓更多小伙伴認識你,還能領取20積分哦,立即完善>

3天內不再提示

電子發燒友網>可編程邏輯>FPGA/ASIC技術>基于FPGA設計環境中加時序約束的詳細分析與優化結果

基于FPGA設計環境中加時序約束的詳細分析與優化結果

12下一頁全文

本文導航

  • 第 1 頁:基于FPGA設計環境中加時序約束的詳細分析與優化結果
  • 第 2 頁:時鐘的描述
收藏

聲明:本文內容及配圖由入駐作者撰寫或者入駐合作網站授權轉載。文章觀點僅代表作者本人,不代表電子發燒友網立場。文章及其配圖僅供工程師學習之用,如有內容侵權或者其他違規問題,請聯系本站處理。 舉報投訴

評論

查看更多

相關推薦

如何在FPGA設計環境中加入時序約束?

。 通常,需要對這幾種路徑分別進行約束,以便使設計工具能夠得到最優化結果。下面對這幾種路徑分別進行討論。 (1)從輸入端口到寄存器: 這種路徑的約束是為了讓FPGA設計工具能夠盡可能的優化從輸入端口到第一級寄存器
2023-10-12 12:00:02866

詳細解析vivado約束時序路徑分析問題

時序不滿足約束,會導致以下問題: 編譯時間長的令人絕望 運行結果靠運氣時對時錯 導致時序問題的成因及其發生的概率如下表: 由上表可見,造成時序問題的主要原因除了約束不完整,就是路徑問題,本文就時序
2020-11-29 10:34:007410

VIVADO時序約束及STA基礎

時序約束的目的就是告訴工具當前的時序狀態,以讓工具盡量優化時序并給出詳細分析報告。一般在行為仿真后、綜合前即創建基本的時序約束。Vivado使用SDC基礎上的XDC腳本以文本形式約束。以下討論如何進行最基本時序約束相關腳本。
2022-03-11 14:39:108731

FPGA的IO約束如何使用

??set_input_delay屬于時序約束中的IO約束,我之前的時序約束教程中,有一篇關于set_input_delay的文章,但里面寫的并不是很詳細,今天我們就來詳細分析一下,這個約束應該如何使用。
2022-09-06 09:22:021633

FPGA的IO口時序約束分析

  在高速系統中FPGA時序約束不止包括內部時鐘約束,還應包括完整的IO時序約束時序例外約束才能實現PCB板級的時序收斂。因此,FPGA時序約束中IO口時序約束也是一個重點。只有約束正確才能在高速情況下保證FPGA和外部器件通信正確。
2022-09-27 09:56:091382

FPGA時序約束的基礎知識

FPGA開發過程中,離不開時序約束,那么時序約束是什么?簡單點說,FPGA芯片中的邏輯電路,從輸入到輸出所需要的時間,這個時間必須在設定的時鐘周期內完成,更詳細一點,即需要滿足建立和保持時間。
2023-06-06 17:53:07860

FPGA主時鐘約束詳解 Vivado添加時序約束方法

FPGA設計中,時序約束的設置對于電路性能和可靠性都至關重要。在上一篇的文章中,已經詳細介紹了FPGA時序約束的基礎知識。
2023-06-06 18:27:136213

FPGA時序約束之衍生時鐘約束和時鐘分組約束

FPGA設計中,時序約束對于電路性能和可靠性非常重要。在上一篇的文章中,已經詳細介紹了FPGA時序約束的主時鐘約束。
2023-06-12 17:29:211230

FPGA時序約束之偽路徑和多周期路徑

前面幾篇FPGA時序約束進階篇,介紹了常用主時鐘約束、衍生時鐘約束、時鐘分組約束的設置,接下來介紹一下常用的另外兩個時序約束語法“偽路徑”和“多周期路徑”。
2023-06-12 17:33:53868

FPGA時序約束時序路徑和時序模型

時序路徑作為時序約束時序分析的物理連接關系,可分為片間路徑和片內路徑。
2023-08-14 17:50:02452

FPGA I/O口時序約束講解

前面講解了時序約束的理論知識FPGA時序約束理論篇,本章講解時序約束實際使用。
2023-08-14 18:22:14842

FPGA時序分析約束(1)——基本概念 精選資料分享

FPGA時序分析約束(1)本文中時序分析使用的平臺:quartusⅡ13.0芯片廠家:Inter1、什么是時序分析?在FPGA中,數據和時鐘傳輸路徑是由相應的EDA軟件通過針對特定器件的布局布線
2021-07-26 06:56:44

FPGA時序分析如何添加其他約束

你好: 現在我使用xilinx FPGA進行設計。遇到問題。我不知道FPGA設計是否符合時序要求。我在設計中添加了“時鐘”時序約束。我不知道如何添加其他約束。一句話,我不知道哪條路徑應該被禁止。我
2019-03-18 13:37:27

FPGA時序約束--基礎理論篇

FPGA開發過程中,離不開時序約束,那么時序約束是什么?簡單點說,FPGA芯片中的邏輯電路,從輸入到輸出所需要的時間,這個時間必須在設定的時鐘周期內完成,更詳細一點,即需要滿足建立和保持時間
2023-11-15 17:41:10

FPGA時序約束OFFSET

FPGA時序約束,總體來分可以分為3類,輸入時序約束,輸出時序約束,和寄存器到寄存器路徑的約束。其中輸入時序約束主要指的是從FPGA引腳輸入的時鐘和輸入的數據直接的約束。共分為兩大類:1、源同步系統
2015-09-05 21:13:07

FPGA時序約束的幾種方法

由于缺乏布局優先級信息而盲目優化非關鍵路徑。由于模塊在每一次編譯中的布局位置變化被限定在了最優的固定范圍內,時序收斂結果的可重現性也就更高。由于其粗粒度特性,LogicLock的約束信息并不很多,可以
2017-12-27 09:15:17

FPGA時序約束的幾種方法

時序約束。FPGA作為PCB上的一個器件,是整個PCB系統時序收斂的一部分。FPGA作為PCB設計的一部分,是需要PCB設計工程師像對待所有COTS器件一樣,閱讀并分析其I/O Timing
2016-06-02 15:54:04

FPGA實戰演練邏輯篇48:基本的時序分析理論1

要求(或者說是添加特定的時序約束),套用特定的時序模型,針對特定的電路進行分析。分析的最終結果當然是要求系統時序滿足設計者提出的要求。(特權同學,版權所有)下面舉一個最簡單的例子來說明時序分析的基本概念
2015-07-09 21:54:41

FPGA時序優化高級研修班

FPGA時序優化高級研修班通知通過設立四大專題,幫助工程師更加深入理解FPGA時序,并掌握時序約束優化的方法。1.FPGA靜態時序分析2.FPGA異步電路處理方法3.FPGA時序約束方法4.FPGA時序優化方法
2013-03-27 15:20:27

FPGA約束設計和時序分析

FPGA/CPLD的綜合、實現過程中指導邏輯的映射和布局布線。下面主要總結一下Xilinx FPGA時序約束設計和分析。
2023-09-21 07:45:57

FPGA芯片_Gowin器件設計優化分析手冊

  FPGA 設計優化主要分為編碼風格、設計規劃和時序收斂三大部分,這 些因素直接決定了 FPGA 設計的成敗?! 【幋a風格直接影響 FPGA 設計的實現并最終影響設計的性能。盡管綜合 工具集成
2022-09-29 06:12:02

FPGA靜態時序分析——IO口時序(Input Delay /output Delay)

FPGA靜態時序分析——IO口時序(Input Delay /output Delay)1.1概述  在高速系統中FPGA時序約束不止包括內部時鐘約束,還應包括完整的IO時序約束時序例外約束才能
2012-04-25 15:42:03

時序分析總結(以SDRAM時序約束為例)

;2.分析的基本情況是針對reg2reg這種情況,至于pin-2-reg,給出pin端的時間窗,來約束FPGA的su和hd時間,對于reg-2-pin,給出外部的時間窗,來約束FPGA內部的tco等
2014-12-29 14:53:00

時序約束資料包

好的時序是設計出來的,不是約束出來的時序就是一種關系,這種關系的基本概念有哪些?這種關系需要約束嗎?各自的詳細情況有哪些?約束的方法有哪些?這些約束可分為幾大類?這種關系僅僅通過約束來維持嗎?1
2018-08-01 16:45:40

詳細分析stm32f10x.h

每日開講---學習STM32不得不看的剖析(詳細分析stm32f10x.h)摘要: 學習STM32不得不看的剖析(詳細分析stm32f10x.h)。/**這里是STM32比較重要的頭文件***************************************************************************
2021-08-05 07:44:05

詳細分析一下USB協議

本文跟大家一起詳細分析一下USB協議。
2021-05-24 06:16:36

詳細分析了VTIM和VMIN的功能

上一篇文章中,我們詳細分析了VTIM和VMIN的功能,《嵌入式Linux 串口編程系列2--termios的VMIN和VTIME深入理解》 也明白了這兩個參數設計的初衷和使用方法,接下來我們 就詳細
2021-11-05 07:09:55

詳細分析嵌入式Linux系統啟動流程

在嵌入式Linux專題(一)中已經對嵌入式Linux系統的架構及啟動流程有了初步的介紹,本文將詳細分析嵌入式Linux系統啟動流程。
2021-11-05 09:25:29

DVI接口詳細分析

DVI接口詳細分析DVI 接口規格和定義 DVI 有DVI 1.0 和DVI 2.0 兩種標準,其中 DVI 1.0 僅用了其中的一組信號傳輸信道(data0-data2 ),傳輸圖像的最高像素時鐘
2012-08-11 09:51:00

Xilinx資深FAE現身說教:在FPGA設計環境中加時序約束的技巧

。通常,需要對這幾種路徑分別進行約束,以便使設計工具能夠得到最  優化結果。下面對這幾種路徑分別進行討論: ?、?從輸入端口到寄存器:  這種路徑的約束是為了讓 FPGA 設計工具能夠盡可能的優化
2012-03-05 15:02:22

uboot代碼詳細分析

[url=]uboot代碼詳細分析[/url]
2016-01-29 13:51:41

vivado:時序分析約束優化

轉自:VIVADO時序分析練習時序分析FPGA設計中是分析工程很重要的手段,時序分析的原理和相關的公式小編在這里不再介紹,這篇文章是小編在練習VIVADO軟件時序分析的筆記,小編這里
2018-08-22 11:45:54

【InTime試用體驗】使用簡易、策略選擇精確度高的一款時序優化軟件

報告人:林俊杰論壇用戶名:Hero2ljj一、評估開展說明開展意義FPGA開發流程包括設計輸入、功能仿真、綜合優化、布局布線,其中綜合優化和布局布線過程中需要考慮到時序約束實現問題。通常情況下如果
2017-07-05 11:00:48

【MiniStar FPGA開發板】配套視頻教程——Gowin進行物理和時序約束

本視頻是MiniStar FPGA開發板的配套視頻課程,主要通過工程實例介紹Gowin的物理約束時序約束,課程內容包括gowin的管腳約束及其他物理約束時序優化,以及常用的幾種時序約束。 本
2021-05-06 15:40:44

【潘文明至簡設計法】系列連載教程 FPGA時序約束視頻教程

明德揚時序約束視頻簡介FPGA時序約束FPGA設計中的一個重點,也是難點。很多人面對各種時序概念、時序計算公式、時序場景是一頭亂麻,望而生畏?,F有的教材大部分是介紹概念、時序分析工具和計算公式
2017-06-14 15:42:26

【轉帖】經驗總結:FPGA時序約束的6種方法

、MulticyclePath、MaxDelay、MinDelay。但這還不是最完整的時序約束。如果僅有這些約束的話,說明設計者的思路還局限在FPGA芯片內部。2. 核心頻率約束+時序例外約束+I/O約束 I/O
2017-10-20 13:26:35

一文讀懂什么是FPGA時序分析

什么是時序分析?時序約束的作用是什么?FPGA組成的三要素分別是哪些?
2021-09-18 06:05:51

三極管特性曲線詳細分析

三極管特性曲線詳細分析,特性曲線看不懂,
2015-06-29 16:34:40

關于FPGA時序約束的一點總結

SDRAM數據手冊有如張時序要求圖。如何使SDRAM滿足時序要求?方法1:添加時序約束。由于Tpcb和時鐘頻率是固定的,我們可以添加時序約束,讓FPGA增加寄存器延時、寄存器到管腳的延時,從而使上述
2016-09-13 21:58:50

大西瓜FPGA--FPGA設計高級篇--時序分析技巧

,不同的寄存器在時鐘脈沖的激勵下相互配合完成特定的功能,所以要保證不同的寄存器在同一時刻的時鐘脈沖激勵下協同工作,就需要進行時序分析,通過分析結果FPGA進行約束,以保證不同寄存器間的時序要求
2017-02-26 09:42:48

如何在FPGA設計環境中加入時序約束?

在給FPGA做邏輯綜合和布局布線時,需要在工具中設定時序約束。通常,在FPGA設計工具中都FPGA中包含有4種路徑:從輸入端口到寄存器,從寄存器到寄存器,從寄存器到輸出,從輸入到輸出的純組合邏輯。
2019-11-08 07:27:54

工程師應該掌握的20個模擬電路(詳細分析及參考答案)

工程師應該掌握的20個模擬電路(詳細分析及參考答案)
2013-08-17 09:58:13

工程師應該掌握的20個模擬電路(詳細分析及參考答案).pdf

工程師應該掌握的20個模擬電路(詳細分析及參考答案).pdf
2013-04-07 13:28:38

求助!詳細分析電路圖個元器件作用

求大神詳細分析電路圖個元器件作用
2013-08-04 15:46:35

電子工程師必須掌握的20個模擬電路詳細分析

內含參考答案以及詳細分析
2023-10-07 07:15:56

電子工程師必須掌握的20個模擬電路詳細分析

本文檔的主要內容詳細介紹的是硬件工程師必須掌握的20個重要模擬電路的概述和參考答案以及詳細分析
2023-09-27 08:22:32

電子工程師需要掌握的20個模擬電路的詳細分析

電子工程師需要掌握的20個模擬電路的詳細分析
2023-09-28 06:22:26

電源電路圖最最最最最詳細分析

本帖最后由 eehome 于 2013-1-5 09:52 編輯 電源電路圖最最最最最詳細分析(轉一網友)
2012-07-31 11:37:21

給大家詳細分析一下艾德克斯車載充電機的測試方案

給大家詳細分析一下艾德克斯車載充電機的測試方案
2021-05-08 08:38:05

請問一下怎樣對stm32的啟動代碼進行詳細分析

請問一下怎樣對stm32的啟動代碼進行詳細分析呢?
2021-11-26 07:10:48

時序約束時序分析 ppt教程

時序約束時序分析 ppt教程 本章概要:時序約束時序分析基礎常用時序概念QuartusII中的時序分析報告 設置時序約束全局時序約束個別時
2010-05-17 16:08:020

UTOPIA LEVEL2接口時序分析FPGA實現

本文詳細分析了ADSL系統中ATM層和物理層之間的UTOPIA LEVEL2接口時序,采用FPGA實現了UTOPIA接口設計,應用在ADSL系統中,數據收發正確,工作穩定;該方案的實現對解決現有專門通信芯
2010-07-28 16:54:1019

延時開關電路圖及詳細分析

延時開關電路圖及詳細分析 圖1:
2007-11-08 10:20:0913453

筆記本使用十大陋習詳細分析

筆記本使用十大陋習詳細分析 電腦越來越平民化,可是電腦卻總是出問題   由于摩爾法則的影響,整個IT產品業界的產品售價正在
2010-01-20 14:18:53316

焊接技術詳細分析

焊接技術詳細分析       焊接實質上是將元器件高質量連接起來最容易實現的方法,對于DIY高手來說,光能掌握電腦配件的性能和參數
2010-01-26 10:46:221630

電子整流器工作原理詳細分析

電子整流器工作原理詳細分析
2010-02-27 10:43:5124851

主板設計的5大缺陷詳細分析

主板設計的5大缺陷詳細分析 第1頁:顯卡聲卡不兼容一覽 “太慘了!剛買的散熱器竟然用不上!”“好郁悶,PCI擴展槽竟然裝不上聲卡?!? 有的時候,我們經
2010-03-15 10:52:211509

uboot1-1-6代碼詳細分析

uboot 1-1-6版本的 代碼詳細分析
2015-11-02 11:02:1925

FPGA時序約束方法

FPGA時序約束方法很好地資料,兩大主流的時序約束都講了!
2015-12-14 14:21:2519

二端口網絡的詳細分析

十二五規劃教材大學電路(邱關源、羅先覺版)二端口網絡的詳細分析和經典例題以及解題方法
2015-12-23 18:15:360

賽靈思FPGA設計時序約束指南

賽靈思FPGA設計時序約束指南,下來看看
2016-05-11 11:30:1948

近期的幾個單片機例程及詳細分析

近期的幾個單片機例程及詳細分析,感興趣的可以看看。
2016-06-21 17:02:483

半橋電源源高頻鏈逆變電路的詳細分析

半橋電源源高頻鏈逆變電路的詳細分析
2017-09-14 15:23:4419

Buck變換器原理詳細分析

Buck變換器原理詳細分析
2017-09-15 17:26:2530

FPGA中的時序約束設計

一個好的FPGA設計一定是包含兩個層面:良好的代碼風格和合理的約束。時序約束作為FPGA設計中不可或缺的一部分,已發揮著越來越重要的作用。毋庸置疑,時序約束的最終目的是實現時序收斂。時序收斂作為
2017-11-17 07:54:362326

深入了解時序約束以及如何利用時序約束實現FPGA 設計的最優結果

FPGA 設計的最優結果。 何為時序約束? 為保證設計的成功,設計人員必須確保設計能在特定時限內完成指定任務。
2017-11-24 19:37:554903

FPGA設計中的時序問題的詳細分析與解決方案

耗費數月精力做出的設計卻無法滿足時序要求,這確實非常令人傷心。然而,試圖正確地對設計進行約束以保證滿足時序要求的過程幾乎同樣令人費神。找到并確定時序約束本身通常也是非常令人頭痛的問題。 時序
2017-11-24 19:49:449123

消滅EMC三大利器的原理詳細分析

濾波電容器、共模電感、磁珠在EMC設計電路中是常見的身影,也是消滅電磁干擾的三大利器。對于這這三者在電路中的作用,相信還有很多工程師搞不清楚。本文從設計設計中,詳細分析了消滅EMC三大利器的原理。
2017-12-01 10:12:1311403

FPGA約束詳細介紹

介紹FPGA約束原理,理解約束的目的為設計服務,是為了保證設計滿足時序要求,指導FPGA工具進行綜合和實現,約束是Vivado等工具努力實現的目標。所以首先要設計合理,才可能滿足約束,約束反過來檢查
2018-06-25 09:14:006374

時序約束資料包】培訓課程Timing VIVADO

好的時序是設計出來的,不是約束出來的 時序就是一種關系,這種關系的基本概念有哪些? 這種關系需要約束嗎? 各自的詳細情況有哪些? 約束的方法有哪些? 這些約束可分為幾大類? 這種關系僅僅通過約束
2018-08-06 15:08:02400

物聯網的產業生態是怎樣的詳細分析概述

物聯網的產業生態是怎樣的詳細分析概述
2018-12-08 10:00:074642

時序約束的步驟分析

FPGA中的時序問題是一個比較重要的問題,時序違例,尤其喜歡在資源利用率較高、時鐘頻率較高或者是位寬較寬的情況下出現。建立時間和保持時間是FPGA時序約束中兩個最基本的概念,同樣在芯片電路時序分析中也存在。
2019-12-23 07:01:001894

電子電路的復習題詳細分析

本文檔的主要內容詳細介紹的是電子電路的復習題詳細分析
2020-04-15 08:00:0015

正點原子FPGA靜態時序分析時序約束教程

時序分析結果,并根據設計者的修復使設計完全滿足時序約束的要求。本章包括以下幾個部分: 1.1 靜態時序分析簡介 1.2 FPGA 設計流程 1.3 TimeQuest 的使用 1.4 常用時序約束 1.5 時序分析的基本概念
2020-11-11 08:00:0058

一些開關電源的拓撲結構詳細分析

本文檔的主要內容詳細介紹的是一些開關電源的拓撲結構詳細分析。
2021-01-06 00:16:0020

時序分析時序約束的基本概念詳細說明

時序分析FPGA設計中永恒的話題,也是FPGA開發人員設計進階的必由之路。慢慢來,先介紹時序分析中的一些基本概念。
2021-01-08 16:57:5528

FPGA中IO口的時序分析詳細說明

在高速系統中FPGA時序約束不止包括內部時鐘約束,還應包括完整的IO時序約束利序例外約束才能實現PCB板級的時序收斂。因此,FPGA時序約束中IO口時序約束也是重點。只有約東正確才能在高速情況下保證FPGA和外部器件通信正確
2021-01-13 17:13:0011

時序分析優化策略詳細說明

本文檔的主要內容詳細介紹的是FPGA時序分析優化策略詳細說明。
2021-01-14 16:03:5917

時序分析優化策略詳細說明

本文檔的主要內容詳細介紹的是FPGA時序分析優化策略詳細說明。
2021-01-14 16:03:5919

如何實現LTE無線網絡優化案例的詳細分析

本文檔的主要內容詳細介紹的是如何實現LTE無線網絡優化案例的詳細分析。
2021-01-14 16:55:4121

功率放大電路的仿真資料詳細分析

本文檔的主要內容詳細介紹的是功率放大電路的仿真資料詳細分析。
2021-02-01 11:28:5028

正激有源鉗位的詳細分析

正激有源鉗位的詳細分析介紹。
2021-06-16 16:57:0756

FPGA時序約束的概念和基本策略

約束條件可以使綜合布線工具調整映射和布局布線過程,使設計達到時序要求。例如用OFFSET_IN_BEFORE約束可以告訴綜合布線工具輸入信號在時鐘之前什么時候準備好,綜合布線工具就可以根據這個約束調整與IPAD相連的Logic Circuitry的綜合實現過程,使結果滿足FFS的建立時間要求。 附加時序
2021-09-30 15:17:464401

FPGA約束、時序分析的概念詳解

約束條件可以使綜合布線工具調整映射和布局布線過程,使設計達到時序要求。例如用OFFSET_IN_BEFORE約束可以告訴綜合布線工具輸入信號在時鐘之前什么時候準備好,綜合布線工具就可以根據這個約束調整與IPAD相連的Logic Circuitry的綜合實現過程,使結果滿足FFS的建立時間要求。 附加時序
2021-10-11 10:23:094861

FPGA設計之時序約束四大步驟

本文章探討一下FPGA時序約束步驟,本文章內容,來源于配置的明德揚時序約束專題課視頻。
2022-03-16 09:17:193255

FPGA設計之時序約束

上一篇《FPGA時序約束分享01_約束四大步驟》一文中,介紹了時序約束的四大步驟。
2022-03-18 10:29:281323

詳解FPGA時序input delay約束

本文章探討一下FPGA時序input delay約束,本文章內容,來源于配置的明德揚時序約束專題課視頻。
2022-05-11 10:07:563462

時序約束系列之D觸發器原理和FPGA時序結構

明德揚有完整的時序約束課程與理論,接下來我們會一章一章以圖文結合的形式與大家分享時序約束的知識。要掌握FPGA時序約束,了解D觸發器以及FPGA運行原理是必備的前提。今天第一章,我們就從D觸發器開始講起。
2022-07-11 11:33:102922

FPGA時序input delay約束

本文章探討一下FPGA時序input delay約束,本文章內容,來源于明德揚時序約束專題課視頻。
2022-07-25 15:37:072379

Xilinx FPGA時序約束設計和分析

FPGA/CPLD的綜合、實現過程中指導邏輯的映射和布局布線。下面主要總結一下Xilinx FPGA時序約束設計和分析。
2023-04-27 10:08:22768

如何在Vivado中添加時序約束

前面幾篇文章已經詳細介紹了FPGA時序約束基礎知識以及常用的時序約束命令,相信大家已經基本掌握了時序約束的方法。
2023-06-23 17:44:001260

FPGA時序約束的原理是什么?

FPGA開發過程中,離不開時序約束,那么時序約束是什么?簡單點說,FPGA芯片中的邏輯電路,從輸入到輸出所需要的時間,這個時間必須在設定的時鐘周期內完成,更詳細一點,即需要滿足建立和保持時間。
2023-06-26 14:42:10344

已全部加載完成

亚洲欧美日韩精品久久_久久精品AⅤ无码中文_日本中文字幕有码在线播放_亚洲视频高清不卡在线观看
<acronym id="s8ci2"><small id="s8ci2"></small></acronym>
<rt id="s8ci2"></rt><rt id="s8ci2"><optgroup id="s8ci2"></optgroup></rt>
<acronym id="s8ci2"></acronym>
<acronym id="s8ci2"><center id="s8ci2"></center></acronym>