<acronym id="s8ci2"><small id="s8ci2"></small></acronym>
<rt id="s8ci2"></rt><rt id="s8ci2"><optgroup id="s8ci2"></optgroup></rt>
<acronym id="s8ci2"></acronym>
<acronym id="s8ci2"><center id="s8ci2"></center></acronym>
0
  • 聊天消息
  • 系統消息
  • 評論與回復
登錄后你可以
  • 下載海量資料
  • 學習在線課程
  • 觀看技術視頻
  • 寫文章/發帖/加入社區
創作中心

完善資料讓更多小伙伴認識你,還能領取20積分哦,立即完善>

3天內不再提示

AMD Versal AI Edge自適應計算加速平臺之體驗ARM,裸機輸出(7)

ALINX ? 來源:ALINX ? 2024-04-19 10:45 ? 次閱讀

1.1 硬件介紹

我們從原理圖中可以看到 ZYNQ 芯片分為PL和PS,PS端的IO分配相對是固定的,不能任意分配,而且不需要在Vivado軟件里分配管腳,雖然本實驗僅僅使用了PS,但是還要建立一個Vivado工程,用來配置PS管腳。雖然PS端的ARM是硬核,但是在ZYNQ當中也要將ARM硬核添加到工程當中才能使用。前面章節介紹的是代碼形式的工程,本章開始介紹ZYNQ的圖形化方式建立工程。

FPGA工程師工作內容

下面介紹FPGA工程師負責內容。

1.2 Vivado工程建立

1)創建一個名為“ps_hello”的工程,建立過程不再贅述,參考“PL的”Hello World”LED實驗”。

2)點擊“Create Block Design”,創建一個Block設計

8a436330-fd7e-11ee-a297-92fbcf53809c.png

3)“Design name”這里不做修改,保持默認“design_1”,這里可以根據需要修改,不過名字要盡量簡短,否則在Windows下編譯會有問題。

8a4a7d3c-fd7e-11ee-a297-92fbcf53809c.png? ? ? ?

4)點擊“Add IP”快捷圖標

8a66a7dc-fd7e-11ee-a297-92fbcf53809c.png

5)搜索“PS”,在搜索結果列表中雙擊”Control,Interfaces & Processing System”

8a739906-fd7e-11ee-a297-92fbcf53809c.png

6)點擊Run Block Automation

8a88ab5c-fd7e-11ee-a297-92fbcf53809c.png

7)配置如下,點擊OK

8a9d91d4-fd7e-11ee-a297-92fbcf53809c.png

8)自動連接如下

8ab02772-fd7e-11ee-a297-92fbcf53809c.png

9)雙擊CIPS進行配置

8ac6cc7a-fd7e-11ee-a297-92fbcf53809c.png

8ae80494-fd7e-11ee-a297-92fbcf53809c.png

點擊PSPMC進行配置

8b06df0e-fd7e-11ee-a297-92fbcf53809c.png ? ?

10)配置QSPI,EMMC,SD

8b13342a-fd7e-11ee-a297-92fbcf53809c.png

8b1fea94-fd7e-11ee-a297-92fbcf53809c.png

8b3e6168-fd7e-11ee-a297-92fbcf53809c.png

選擇相應MIO

8b54f464-fd7e-11ee-a297-92fbcf53809c.png

11)勾選USB 2.0,GEM0,UART0,TTC,GPIO等外設

8b639762-fd7e-11ee-a297-92fbcf53809c.png

配置外設

8b7509c0-fd7e-11ee-a297-92fbcf53809c.png

12)將MIO24配置成GPIO輸入,對應PS端按鍵,MIO25配置成GPIO輸出,對應PS端LED燈

8b83edbe-fd7e-11ee-a297-92fbcf53809c.png

8ba87792-fd7e-11ee-a297-92fbcf53809c.png

13)在clocking中,將參考時鐘設置更精確些

8c52fb5e-fd7e-11ee-a297-92fbcf53809c.png

14)將內部中斷都勾選上,配置完成,點擊OK

8c77faf8-fd7e-11ee-a297-92fbcf53809c.png

15)點擊Finish

8c91c4d8-fd7e-11ee-a297-92fbcf53809c.png

16)雙擊AXI NoC配置DDR4

8caf189e-fd7e-11ee-a297-92fbcf53809c.png

8cc6f126-fd7e-11ee-a297-92fbcf53809c.png

8d1bb8d2-fd7e-11ee-a297-92fbcf53809c.png

選擇參考時鐘和system clock

8d3adaf0-fd7e-11ee-a297-92fbcf53809c.png

DDR Address Region 1選擇NONE,點擊OK

8d4eae68-fd7e-11ee-a297-92fbcf53809c.png

17)修改引腳名稱

8d760e90-fd7e-11ee-a297-92fbcf53809c.png

雙擊配置sys_clk的頻率為200MHz

8d86be66-fd7e-11ee-a297-92fbcf53809c.png

18)選擇Block設計,右鍵“Create HDL Wrapper...”,創建一個Verilog或VHDL文件,為block design生成HDL頂層文件。

8d9e0080-fd7e-11ee-a297-92fbcf53809c.png

19)保持默認選項,點擊“OK”

8db74a86-fd7e-11ee-a297-92fbcf53809c.png

20)添加約束

8dca807e-fd7e-11ee-a297-92fbcf53809c.png

8dde55a4-fd7e-11ee-a297-92fbcf53809c.png

8dfda468-fd7e-11ee-a297-92fbcf53809c.png

21)Generate Device Image

8e1ba08a-fd7e-11ee-a297-92fbcf53809c.png

22)完成后取消

8e35323e-fd7e-11ee-a297-92fbcf53809c.png

23)File->Export->Export Hardware...

8e4dc9ca-fd7e-11ee-a297-92fbcf53809c.png

8e5fc670-fd7e-11ee-a297-92fbcf53809c.png

8ebb793e-fd7e-11ee-a297-92fbcf53809c.png

8edb2022-fd7e-11ee-a297-92fbcf53809c.png

8f1cea5c-fd7e-11ee-a297-92fbcf53809c.png

此時在工程目錄下可以看到xsa文件,這個文件就包含了Vivado硬件設計的信息,可交由軟件開發人員使用。

8f37a13a-fd7e-11ee-a297-92fbcf53809c.png

到此為止,FPGA工程師工作告一段落。

軟件工程師工作內容

Vitis工程目錄為“ps_hello/vitis”

以下為軟件工程師負責內容。

1.3 Vitis調試

1.3.1 創建Application工程

1)新建一個文件夾,將vivado導出的xx.xsa文件拷貝進來。

2)Vitis是獨立的軟件,可以雙擊Vitis軟件打開,也可以通過在Vivado軟件中選擇ToolsàLaunch Vitis打開Vitis軟件

8f4beb0e-fd7e-11ee-a297-92fbcf53809c.png

在歡迎界面,點擊Open Workspace,選擇之前新建的文件夾,點擊”OK”

8f628ed6-fd7e-11ee-a297-92fbcf53809c.png

3)啟動Vitis之后界面如下,點擊“Create Platform Component”,這個選項會創建Platfrom工程,Platform工程類似于以前版本的hardware platform,包含了硬件支持的相關文件以及BSP。

8f76e908-fd7e-11ee-a297-92fbcf53809c.png

4)第一頁填寫Component name和路徑,保持默認,點擊Next

8f8e26a4-fd7e-11ee-a297-92fbcf53809c.png

5)選擇(XSA,選擇“Browse”,選擇之前生成的xsa,點擊打開,之后點擊Next

8fa75a48-fd7e-11ee-a297-92fbcf53809c.png

6)選擇操作系統處理器,這里保持默認

8fc710e0-fd7e-11ee-a297-92fbcf53809c.png

7)點擊Finish完成

8fdd04fe-fd7e-11ee-a297-92fbcf53809c.png

8)生成之后出現窗口界面,以下是一些窗口介紹,與之前版本的Vitis界面有相似之處,但差別也比較大。

8ffbb7c8-fd7e-11ee-a297-92fbcf53809c.png

9)可以在Flow窗口編譯平臺

901b5600-fd7e-11ee-a297-92fbcf53809c.png

沒有錯誤狀態

902d297a-fd7e-11ee-a297-92fbcf53809c.png

10)點擊左側Example,這里面有很多官方的例程,與以前版本也比較類似,選擇Hello World

9039394a-fd7e-11ee-a297-92fbcf53809c.png

11)點擊創建工程

90532ba2-fd7e-11ee-a297-92fbcf53809c.png

12)填寫工程名稱和路徑,保持默認

906fec24-fd7e-11ee-a297-92fbcf53809c.png

13)選中平臺

909191e4-fd7e-11ee-a297-92fbcf53809c.png

14)點擊Next

90b0343c-fd7e-11ee-a297-92fbcf53809c.png

15)完成

90e25dae-fd7e-11ee-a297-92fbcf53809c.png

16)選中hello_world,點擊Build

90fe621a-fd7e-11ee-a297-92fbcf53809c.png

1.3.2 下載調試

1)連接JTAG線到開發板、UART的USB線到PC

910c7d3c-fd7e-11ee-a297-92fbcf53809c.png

2)在上電之前最好將開發板的啟動模式設置到JTAG模式,拔到”ON”的位置

9129fe8e-fd7e-11ee-a297-92fbcf53809c.png

3)開發板上電,并且打開串口調試工具,點擊Flow中的Run

91547a60-fd7e-11ee-a297-92fbcf53809c.png

4)這個時候觀察串口調試工具,即可以看到輸出”Hello World”

916c9b04-fd7e-11ee-a297-92fbcf53809c.png

1.4 固化程序

普通的FPGA一般是可以從flash啟動,或者被動加載,在第一章的PMC架構中已經介紹啟動過程,這里不再介紹。

在Flow中選擇Creat Boot Image,彈出的窗口中可以看到生成的BIF文件路徑,BIF文件是生成BOOT文件的配置文件,還有生成的Output Image文件路徑,也就是生成BOOT.pdi文件,它是我們需要的啟動文件,可以放到SD卡啟動,也可以燒寫到QSPI Flash。

9175e4de-fd7e-11ee-a297-92fbcf53809c.png

917ff65e-fd7e-11ee-a297-92fbcf53809c.png

在生成的目錄下可以找到boot.pdi文件

919bbf24-fd7e-11ee-a297-92fbcf53809c.png

1.4.1 SD卡啟動測試

1)格式化SD卡,只能格式化為FAT32格式,其他格式無法啟動

91a74dee-fd7e-11ee-a297-92fbcf53809c.png? ? ? ? ?

2)放入boot.pdi文件,放在根目錄

91c41442-fd7e-11ee-a297-92fbcf53809c.png

3)SD卡插入開發板的SD卡插槽

4)啟動模式調整為SD卡啟動

91d7299c-fd7e-11ee-a297-92fbcf53809c.png ? ?

5)打開串口軟件,上電啟動,即可看到打印信息,紅色框為FSBL啟動信息,黃色箭頭部分為執行的應用程序helloworld

91ff7c30-fd7e-11ee-a297-92fbcf53809c.png

1.4.2 QSPI啟動測試

1)在Vitis菜單Vitis -> Program Flash

920eb8d0-fd7e-11ee-a297-92fbcf53809c.png

2)Image FIle文件選擇要燒寫的boot.pdi。選擇Verify after flash,Flash Type選擇qspi-x8-dual_parallel,在燒寫完成后校驗flash。

9244c8ee-fd7e-11ee-a297-92fbcf53809c.png

3)點擊Program等待燒寫完成

925c6d96-fd7e-11ee-a297-92fbcf53809c.png

4)設置啟動模式為QSPI,再次啟動,可以在串口軟件里看到與SD同樣的啟動效果。

927b3bb8-fd7e-11ee-a297-92fbcf53809c.png

928e6026-fd7e-11ee-a297-92fbcf53809c.png

1.5 本章小結

本章從FPGA工程師和軟件工程師兩者角度出發,介紹了Versal開發的經典流程,FPGA工程師的主要工作是搭建好硬件平臺,提供硬件描述文件xsa給軟件工程師,軟件工程師在此基礎上開發應用程序。本章是一個簡單的例子介紹了FPGA和軟件工程師協同工作,后續還會牽涉到PS與PL之間的聯合調試,較為復雜,也是Versal開發的核心部分。

同時也介紹了FSBL,啟動文件的制作,SD卡啟動方式,QSPI下載及啟動方式。



審核編輯:劉清

聲明:本文內容及配圖由入駐作者撰寫或者入駐合作網站授權轉載。文章觀點僅代表作者本人,不代表電子發燒友網立場。文章及其配圖僅供工程師學習之用,如有內容侵權或者其他違規問題,請聯系本站處理。 舉報投訴
  • 處理器
    +關注

    關注

    68

    文章

    18406

    瀏覽量

    222815
  • led燈
    +關注

    關注

    22

    文章

    1559

    瀏覽量

    107070
  • DDR4
    +關注

    關注

    12

    文章

    295

    瀏覽量

    40343
  • 串口調試
    +關注

    關注

    2

    文章

    263

    瀏覽量

    24502
  • QSPI
    +關注

    關注

    0

    文章

    39

    瀏覽量

    11993

原文標題:【ALINX 技術分享】AMD Versal AI Edge 自適應計算加速平臺之體驗ARM,裸機輸出(7)

文章出處:【微信號:ALINX,微信公眾號:ALINX】歡迎添加關注!文章轉載請注明出處。

收藏 人收藏

    評論

    相關推薦

    AMD Versal AI Edge自適應計算加速平臺Versal介紹(2)

    Versal 包含了 Cortex-A72 處理器和 Cortex-R5 處理器,PL 端可編程邏輯部分,PMC 平臺管理控制器,AI Engine 等模塊,與以往的 ZYNQ 7000 和 MPSoC 不同,
    的頭像 發表于 03-06 18:12 ?927次閱讀
    <b class='flag-5'>AMD</b> <b class='flag-5'>Versal</b> <b class='flag-5'>AI</b> <b class='flag-5'>Edge</b><b class='flag-5'>自適應計算</b><b class='flag-5'>加速</b><b class='flag-5'>平臺</b>之<b class='flag-5'>Versal</b>介紹(2)

    【ALINX 技術分享】AMD Versal AI Edge 自適應計算加速平臺之準備工作(1)

    AMD Versal AI Edge 自適應計算加速平臺
    的頭像 發表于 03-07 15:49 ?334次閱讀
    【ALINX 技術分享】<b class='flag-5'>AMD</b> <b class='flag-5'>Versal</b> <b class='flag-5'>AI</b> <b class='flag-5'>Edge</b> <b class='flag-5'>自適應計算</b><b class='flag-5'>加速</b><b class='flag-5'>平臺</b>之準備工作(1)

    【ALINX 技術分享】AMD Versal AI Edge 自適應計算加速平臺Versal 介紹(2)

    【ALINX 技術分享】AMD Versal AI Edge 自適應計算加速
    的頭像 發表于 03-07 16:03 ?357次閱讀
    【ALINX 技術分享】<b class='flag-5'>AMD</b> <b class='flag-5'>Versal</b> <b class='flag-5'>AI</b> <b class='flag-5'>Edge</b> <b class='flag-5'>自適應計算</b><b class='flag-5'>加速</b><b class='flag-5'>平臺</b>之 <b class='flag-5'>Versal</b> 介紹(2)

    AMD Versal AI Edge自適應計算加速平臺PL LED實驗(3)

    對于Versal來說PL(FPGA)開發是至關重要的,這也是Versal比其他ARM的有優勢的地方,可以定制化很多ARM端的外設
    的頭像 發表于 03-13 15:38 ?487次閱讀
    <b class='flag-5'>AMD</b> <b class='flag-5'>Versal</b> <b class='flag-5'>AI</b> <b class='flag-5'>Edge</b><b class='flag-5'>自適應計算</b><b class='flag-5'>加速</b><b class='flag-5'>平臺</b>PL LED實驗(3)

    AMD Versal AI Edge自適應計算加速平臺之PL LED實驗(3)

    對于Versal來說PL(FPGA)開發是至關重要的,這也是Versal比其他ARM的有優勢的地方,可以定制化很多ARM端的外設
    的頭像 發表于 03-22 17:12 ?1084次閱讀

    在Vivado中構建AMD Versal可擴展嵌入式平臺示例設計流程

    為了應對無線波束形成、大規模計算和機器學習推斷等新一代應用需求的非線性增長,AMD 開發了一項全新的創新處理技術 AI 引擎,片內集成該AI Engine的FPGA系列是
    的頭像 發表于 04-09 15:14 ?490次閱讀
    在Vivado中構建<b class='flag-5'>AMD</b> <b class='flag-5'>Versal</b>可擴展嵌入式<b class='flag-5'>平臺</b>示例設計流程

    深度學習推理和計算-通用AI核心

    ,支持廣泛的應用程序和動態工作負載。本文將討論這些行業挑戰可以在不同級別的硬件和軟件設計采用Xilinx VERSAL AI核心,業界首創自適應計算加速
    發表于 11-01 09:28

    Xilinx推出Versal:業界首款自適應計算加速平臺,支持快速創新

    賽靈思公司(Xilinx)首席執行官 Victor Peng 宣布推出 Versal – 業界首款自適應計算加速平臺 (Adaptive Compute Acceleration P
    發表于 10-18 16:50 ?1420次閱讀

    賽靈思發布自適應計算加速平臺芯片系列Versal

    2018年10月16日,FPGA大廠賽靈思(Xilinx)在北京召開了一年一度的“Xilinx開發者大會 ”(XDF) 。在本次會議上,賽靈思發布了全球首款自適應計算加速平臺 (ACAP)芯片系列
    的頭像 發表于 10-22 16:52 ?5387次閱讀

    賽靈思Versal自適應計算加速平臺助于高效實現設計目標

    解決的問題?賽靈思 Versal 自適應計算加速平臺的設計方法論是幫助精簡 Versal 器件設計進程的一整套最佳實踐,遵循這些步驟和最佳實
    的頭像 發表于 05-27 11:08 ?1547次閱讀
    賽靈思<b class='flag-5'>Versal</b><b class='flag-5'>自適應計算</b><b class='flag-5'>加速</b><b class='flag-5'>平臺</b>助于高效實現設計目標

    賽靈思Versal自適應計算加速平臺指南

    賽靈思 Versal 自適應計算加速平臺 (ACAP) 設計方法論是旨在幫助精簡 Versal 器件設計進程的一整套最佳實踐。鑒于這些設計的
    的頭像 發表于 10-11 11:33 ?3834次閱讀

    Versal啟動文件簡述

    Versal? 是由多個高度耦合的可配置塊組成的自適應計算加速平臺?(ACAP)
    的頭像 發表于 07-07 14:15 ?711次閱讀
    <b class='flag-5'>Versal</b>啟動文件簡述

    Versal:首款自適應計算加速平臺(ACAP)

    電子發燒友網站提供《Versal:首款自適應計算加速平臺(ACAP).pdf》資料免費下載
    發表于 09-18 09:28 ?1次下載
    <b class='flag-5'>Versal</b>:首款<b class='flag-5'>自適應計算</b><b class='flag-5'>加速</b><b class='flag-5'>平臺</b>(ACAP)

    AMD推出第二代Versal器件,為AI驅動型嵌入式系統提供端到端加速

    Versal AI Edge 系列和第二代 Versal Prime 系列自適應 SoC,其將預處理、
    的頭像 發表于 04-10 10:25 ?163次閱讀

    AMD發布第二代Versal自適應SoC,AI嵌入式領域再提速

    AMD表示,第二代Versal系列自適應SoC搭載全新的AI引擎,相較上一代Versal AI
    的頭像 發表于 04-11 16:07 ?311次閱讀
    亚洲欧美日韩精品久久_久久精品AⅤ无码中文_日本中文字幕有码在线播放_亚洲视频高清不卡在线观看
    <acronym id="s8ci2"><small id="s8ci2"></small></acronym>
    <rt id="s8ci2"></rt><rt id="s8ci2"><optgroup id="s8ci2"></optgroup></rt>
    <acronym id="s8ci2"></acronym>
    <acronym id="s8ci2"><center id="s8ci2"></center></acronym>