<acronym id="s8ci2"><small id="s8ci2"></small></acronym>
<rt id="s8ci2"></rt><rt id="s8ci2"><optgroup id="s8ci2"></optgroup></rt>
<acronym id="s8ci2"></acronym>
<acronym id="s8ci2"><center id="s8ci2"></center></acronym>
0
  • 聊天消息
  • 系統消息
  • 評論與回復
登錄后你可以
  • 下載海量資料
  • 學習在線課程
  • 觀看技術視頻
  • 寫文章/發帖/加入社區
會員中心
創作中心

完善資料讓更多小伙伴認識你,還能領取20積分哦,立即完善>

3天內不再提示

FPGA物理約束之布線約束

FPGA快樂學習 ? 來源:FPGA快樂學習 ? 2023-12-16 14:04 ? 次閱讀

9f99bbc4-9bd3-11ee-8b88-92fbcf53809c.jpg

IS_ROUTE_FIXED命令用于指定網絡的所有布線進行固定約束。進入Implemented頁面后,Netlist窗口如圖1所示,其中Nets文件展開后可以看到工程中所有的布線網絡。

9fb07882-9bd3-11ee-8b88-92fbcf53809c.jpg

圖1Netlist窗口

如圖2所示,選中網絡app_wdf_wren,右鍵菜單中單擊FixRouting選項。

9fc78432-9bd3-11ee-8b88-92fbcf53809c.jpg

圖2 FixRouting菜單

如圖3所示,彈出的窗口中,羅列了網絡app_wdf_wren驅動的所有連線,默認情況下,直接點擊OK將會固定約束網絡app_wdf_wren的所有連線。

9fdb0994-9bd3-11ee-8b88-92fbcf53809c.jpg

圖3 FixRouting窗口

如圖4所示,在網絡app_wdf_wren右鍵菜單中單擊NetProperties選項,將會顯示其屬性頁面。

9febcb44-9bd3-11ee-8b88-92fbcf53809c.jpg

圖4 NetProperties菜單

如圖5所示,在網絡app_wdf_wren的NetProperties->Properties頁面中,可以看到IS_FOUTE_FIXED被勾選了。在對網絡app_wdf_wren進行Fix Routing單擊之前,這個選擇默認是不勾選的。

9ffae41c-9bd3-11ee-8b88-92fbcf53809c.jpg

圖5 NetProperties頁面

此時的Tcl Console也會因為進行了FixRouting單擊后,產生了如下的幾行約束腳本。約束腳本中使用了is_route_fixed約束命令。

startgroup

set_property is_route_fixed 0 [get_nets {app_wdf_wren }]

set_property is_bel_fixed 0 [get_cells {u2_mig_7series_0/u_mig_7series_0_mig/u_memc_ui_top_std/u_ui_top/ui_wr_data0/app_wdf_end_r1_i_1 u2_mig_7series_0/u_mig_7series_0_mig/u_memc_ui_top_std/u_ui_top/ui_wr_data0/app_wdf_wren_r1_i_1 u5_ddr3_cache/app_wdf_wren_reg }]

set_property is_loc_fixed 1 [get_cells {u2_mig_7series_0/u_mig_7series_0_mig/u_memc_ui_top_std/u_ui_top/ui_wr_data0/app_wdf_end_r1_i_1 u2_mig_7series_0/u_mig_7series_0_mig/u_memc_ui_top_std/u_ui_top/ui_wr_data0/app_wdf_wren_r1_i_1 u5_ddr3_cache/app_wdf_wren_reg }]

endgroup

對約束進行保存后,如圖6所示,在xdc文件中生成了對網絡app_wdf_wren所有連接的固定布線約束。

a00822bc-9bd3-11ee-8b88-92fbcf53809c.jpg

圖6 約束后的xdc腳本

審核編輯:湯梓紅

聲明:本文內容及配圖由入駐作者撰寫或者入駐合作網站授權轉載。文章觀點僅代表作者本人,不代表電子發燒友網立場。文章及其配圖僅供工程師學習之用,如有內容侵權或者其他違規問題,請聯系本站處理。 舉報投訴
  • FPGA
    +關注

    關注

    1608

    文章

    21355

    瀏覽量

    594334
  • 布線
    +關注

    關注

    9

    文章

    733

    瀏覽量

    84067
  • 文件
    +關注

    關注

    1

    文章

    540

    瀏覽量

    24438
  • 約束
    +關注

    關注

    0

    文章

    82

    瀏覽量

    12646

原文標題:物理約束實踐:布線約束 IS_ROUTE_FIXED

文章出處:【微信號:FPGA快樂學習,微信公眾號:FPGA快樂學習】歡迎添加關注!文章轉載請注明出處。

收藏 人收藏

    評論

    相關推薦

    Xilinx FPGA管腳物理約束介紹

    引言:本文我們簡單介紹下Xilinx FPGA管腳物理約束,包括位置(管腳)約束和電氣約束。
    發表于 07-25 10:13 ?4365次閱讀

    FPGA時序約束之衍生時鐘約束和時鐘分組約束

    FPGA設計中,時序約束對于電路性能和可靠性非常重要。在上一篇的文章中,已經詳細介紹了FPGA時序約束的主時鐘約束。
    發表于 06-12 17:29 ?1568次閱讀

    物理約束實踐:I/O約束

    I/O約束(I/O Constraints)包括I/O標準(I/OStandard)約束和I/O位置(I/O location)約束。
    的頭像 發表于 11-18 16:42 ?638次閱讀
    <b class='flag-5'>物理</b><b class='flag-5'>約束</b>實踐:I/O<b class='flag-5'>約束</b>

    FPGA物理約束之布局約束

    在進行布局約束前,通常會對現有設計進行設計實現(Implementation)編譯。在完成第一次設計實現編譯后,工程設計通常會不斷更新迭代,此時對于設計中一些固定不變的邏輯,設計者希望它們的編譯結果
    的頭像 發表于 01-02 14:13 ?639次閱讀
    <b class='flag-5'>FPGA</b><b class='flag-5'>物理</b><b class='flag-5'>約束</b>之布局<b class='flag-5'>約束</b>

    FPGA時序約束的幾種方法

    是一項浩大的工程,這標志著設計者能夠完全控制設計的物理實現。這是一個理想目標,是不可能在有限的時間內完成的。通常的做法是設計者對設計的局部進行寄存器布局約束并通過實際運行布局布線工具來獲得時序收斂
    發表于 06-02 15:54

    FPGA時序約束的幾種方法

    不是最完整的時序約束。如果僅有這些約束的話,說明設計者的思路還局限在FPGA芯片內部。 2. 核心頻率約束+時序例外約束+I/O
    發表于 12-27 09:15

    物理約束布局約束

    [get_cellsswitch_v2_i/srio_clk_inst/srio_mmcm_inst]2. 塊布局約束通過增加非關鍵塊的邏輯密度來節省布局布線資源、提高性能。通過更好的放置來減少所選邏輯的路由延遲
    發表于 09-26 15:32

    【MiniStar FPGA開發板】配套視頻教程——Gowin進行物理和時序約束

    本視頻是MiniStar FPGA開發板的配套視頻課程,主要通過工程實例介紹Gowin的物理約束和時序約束,課程內容包括gowin的管腳約束
    發表于 05-06 15:40

    FPGA約束設計和時序分析

    FPGA/CPLD的綜合、實現過程中指導邏輯的映射和布局布線。下面主要總結一下Xilinx FPGA時序約束設計和分析。
    發表于 09-21 07:45

    Adam Taylor玩轉MicroZed系列74:物理約束

    研究了相關的時序約束后,在設計中我們也不能忽視所能運用到的物理約束。一個工程師最常用的物理約束是I/O管腳的放置和與每個I/O腳相關的參數定
    發表于 02-08 02:20 ?231次閱讀
    Adam Taylor玩轉MicroZed系列74:<b class='flag-5'>物理</b><b class='flag-5'>約束</b>

    FPGA設計約束技巧之XDC約束之I/O篇(下)

    XDC中的I/O約束雖然形式簡單,但整體思路和約束方法卻與UCF大相徑庭。加之FPGA的應用特性決定了其在接口上有多種構建和實現方式,所以從UCF到XDC的轉換過程中,最具挑戰的可以說便是本文將要
    發表于 11-17 19:01 ?6828次閱讀
    <b class='flag-5'>FPGA</b>設計<b class='flag-5'>約束</b>技巧之XDC<b class='flag-5'>約束</b>之I/O篇(下)

    XDC約束物理約束的介紹

    觀看視頻,了解和學習有關XDC約束,包括時序,以及物理約束相關知識。
    的頭像 發表于 01-07 07:10 ?5738次閱讀
    XDC<b class='flag-5'>約束</b>及<b class='flag-5'>物理</b><b class='flag-5'>約束</b>的介紹

    簡述Xilinx FPGA管腳物理約束解析

    引言:本文我們簡單介紹下Xilinx FPGA管腳物理約束,包括位置(管腳)約束和電氣約束。
    的頭像 發表于 04-27 10:36 ?3309次閱讀
    簡述Xilinx <b class='flag-5'>FPGA</b>管腳<b class='flag-5'>物理</b><b class='flag-5'>約束</b>解析

    FPGA設計之時序約束

    上一篇《FPGA時序約束分享01_約束四大步驟》一文中,介紹了時序約束的四大步驟。
    發表于 03-18 10:29 ?1402次閱讀
    <b class='flag-5'>FPGA</b>設計之時序<b class='flag-5'>約束</b>

    Xilinx FPGA約束設置基礎

    LOC約束FPGA設計中最基本的布局約束和綜合約束,能夠定義基本設計單元在FPGA芯片中的位置,可實現絕對定位、范圍定位以及區域定位。
    發表于 04-26 17:05 ?171次閱讀
    Xilinx <b class='flag-5'>FPGA</b>的<b class='flag-5'>約束</b>設置基礎
    亚洲欧美日韩精品久久_久久精品AⅤ无码中文_日本中文字幕有码在线播放_亚洲视频高清不卡在线观看
    <acronym id="s8ci2"><small id="s8ci2"></small></acronym>
    <rt id="s8ci2"></rt><rt id="s8ci2"><optgroup id="s8ci2"></optgroup></rt>
    <acronym id="s8ci2"></acronym>
    <acronym id="s8ci2"><center id="s8ci2"></center></acronym>