<acronym id="s8ci2"><small id="s8ci2"></small></acronym>
<rt id="s8ci2"></rt><rt id="s8ci2"><optgroup id="s8ci2"></optgroup></rt>
<acronym id="s8ci2"></acronym>
<acronym id="s8ci2"><center id="s8ci2"></center></acronym>
0
  • 聊天消息
  • 系統消息
  • 評論與回復
登錄后你可以
  • 下載海量資料
  • 學習在線課程
  • 觀看技術視頻
  • 寫文章/發帖/加入社區
會員中心
創作中心

完善資料讓更多小伙伴認識你,還能領取20積分哦,立即完善>

3天內不再提示

FPGA物理約束之布局約束

FPGA快樂學習 ? 來源:FPGA快樂學習 ? 2024-01-02 14:13 ? 次閱讀

5fd244cc-a6d5-11ee-8b88-92fbcf53809c.jpg

在進行布局約束前,通常會對現有設計進行設計實現(Implementation)編譯。在完成第一次設計實現編譯后,工程設計通常會不斷更新迭代,此時對于設計中一些固定不變的邏輯,設計者希望它們的編譯結果能夠保持穩定不變,使用增量式編譯是一種選擇,而使用布局約束是另一種更靈活的選擇。此時的布局約束,通常不會針對用戶邏輯部分,而是針對一些相對固定的片內存儲器或乘法器,基于第一次編譯的結果進行固定布局約束的設計重用,保證后續每次新的編譯不再改變其布局位置,從而達到獲得相對穩定的編譯結果的目的。

這類基于設計重用的布局約束,簡單易用,可以減少一定的編譯時間,也無需設計者對底層器件結構非常了解。但也有其局限性,不適用于較大的設計變更,也不適合于固定太多的設計邏輯。因為這類設計重用,相當于為前期設計鎖定了資源,使其占據了先發優勢,而對于隨后的設計變更,則因為無法分配到較優的資源,可能引起時序違規。因此,在實際工程應用中,此類固定布局約束需要謹慎使用。

點擊OpenImplemented Design,進入Implemented頁面。

Implemented頁面下,如圖1所示,點擊菜單Layout->Floorplanning。

5ff22c06-a6d5-11ee-8b88-92fbcf53809c.jpg

圖1Floorplanning菜單

接著,如圖2所示,點擊菜單Edit->Find。

5ffe427a-a6d5-11ee-8b88-92fbcf53809c.jpg

圖2 Find菜單

如圖3所示,在彈出的Find對話框中,設置Find為Cells,Properties為PRIMITIVE_TYPEis BMEM。即查找設計中已經布局的所有Block Memory(FPGA片內塊RAM)。

6006be3c-a6d5-11ee-8b88-92fbcf53809c.jpg

圖3 Find對話框

此時,如圖4所示,在FindResults列表中,出現了所有搜索到的設計中使用了BMEM的Cell。

6012b07a-a6d5-11ee-8b88-92fbcf53809c.jpg

圖4 FindResults列表

如圖5所示,選中所有搜索到的RAMB36E1,右鍵單擊,彈出菜單中點擊FixCells,將所有已布局的BMEM的位置固定,使其在下一次編譯時不被移動。

60232a68-a6d5-11ee-8b88-92fbcf53809c.jpg

圖5 FixCells菜單

如圖6所示,可以選擇FindResults中的任意一個Cell,查看其Cell Properties,其STATUS項都已被修改為了FIXED。

603eab94-a6d5-11ee-8b88-92fbcf53809c.jpg

圖6查看BMEM屬性

如圖7所示,可以直接點擊保存按鈕,覆蓋到當前的xdc文件中。

60559318-a6d5-11ee-8b88-92fbcf53809c.jpg

圖7SaveConstraints按鈕

如圖8所示,也可以點擊菜單File->Constraints->SaveAs創建新方案存儲約束腳本。

605c18be-a6d5-11ee-8b88-92fbcf53809c.jpg

圖8SaveConstraints As菜單

如圖9所示,新的約束設置將會另存到新創建的約束方案constrs_2中。

6069bfbe-a6d5-11ee-8b88-92fbcf53809c.jpg

圖9SaveConstraints As對話框

最后,如圖10所示,在Source面板中可以看到,新創建的約束方案constrs_2被高亮為active狀態,同時打開其下的約束文件at7.xdc,set_property BEL或set_property LOC腳本即剛添加的布局約束。重新編譯后,約束將會生效。

6070c372-a6d5-11ee-8b88-92fbcf53809c.jpg

圖10約束腳本文件

審核編輯:湯梓紅

聲明:本文內容及配圖由入駐作者撰寫或者入駐合作網站授權轉載。文章觀點僅代表作者本人,不代表電子發燒友網立場。文章及其配圖僅供工程師學習之用,如有內容侵權或者其他違規問題,請聯系本站處理。 舉報投訴
  • FPGA
    +關注

    關注

    1608

    文章

    21355

    瀏覽量

    594334
  • 存儲器
    +關注

    關注

    38

    文章

    7207

    瀏覽量

    162293
  • 約束
    +關注

    關注

    0

    文章

    82

    瀏覽量

    12646
  • 編譯
    +關注

    關注

    0

    文章

    623

    瀏覽量

    32448

原文標題:物理約束實踐:基于設計重用的布局約束

文章出處:【微信號:FPGA快樂學習,微信公眾號:FPGA快樂學習】歡迎添加關注!文章轉載請注明出處。

收藏 人收藏

    評論

    相關推薦

    Xilinx FPGA管腳物理約束介紹

    引言:本文我們簡單介紹下Xilinx FPGA管腳物理約束,包括位置(管腳)約束和電氣約束。
    發表于 07-25 10:13 ?4365次閱讀

    FPGA時序約束之衍生時鐘約束和時鐘分組約束

    FPGA設計中,時序約束對于電路性能和可靠性非常重要。在上一篇的文章中,已經詳細介紹了FPGA時序約束的主時鐘約束。
    發表于 06-12 17:29 ?1568次閱讀

    FPGA I/O口時序約束講解

    前面講解了時序約束的理論知識FPGA時序約束理論篇,本章講解時序約束實際使用。
    發表于 08-14 18:22 ?1016次閱讀
    <b class='flag-5'>FPGA</b> I/O口時序<b class='flag-5'>約束</b>講解

    物理約束實踐:I/O約束

    I/O約束(I/O Constraints)包括I/O標準(I/OStandard)約束和I/O位置(I/O location)約束。
    的頭像 發表于 11-18 16:42 ?638次閱讀
    <b class='flag-5'>物理</b><b class='flag-5'>約束</b>實踐:I/O<b class='flag-5'>約束</b>

    FPGA時序約束的幾種方法

    的文件qxp中,配和qsf文件中的粗略配置信息一起完成增量編譯。 4. 核心頻率約束+時序例外約束+I/O約束+LogicLock LogicLock是在FPGA器件底層進行的
    發表于 06-02 15:54

    FPGA時序約束的幾種方法

    +I/O約束+LogicLock LogicLock是在FPGA器件底層進行的布局約束。LogicLock的約束是粗粒度的,只規定設計頂層模
    發表于 12-27 09:15

    物理約束布局約束

    1. 單元布局約束set_property BELGTHE2_CHANNEL[get_cellsswitch_v2_i/srio_0/srio_gen2_0_inst
    發表于 09-26 15:32

    【MiniStar FPGA開發板】配套視頻教程——Gowin進行物理和時序約束

    本視頻是MiniStar FPGA開發板的配套視頻課程,主要通過工程實例介紹Gowin的物理約束和時序約束,課程內容包括gowin的管腳約束
    發表于 05-06 15:40

    FPGA約束設計和時序分析

    FPGA/CPLD的綜合、實現過程中指導邏輯的映射和布局布線。下面主要總結一下Xilinx FPGA時序約束設計和分析。
    發表于 09-21 07:45

    賽靈思(Xilinx)FPGA用戶約束文件的分類和語法說明

    FPGA設計中的約束文件有3類:用戶設計文件(.UCF文件)、網表約束文件(.NCF文件)以及物理約束文件(.PCF文件),可以完成時序
    發表于 02-11 06:33 ?1486次閱讀

    FPGA設計約束技巧之XDC約束之I/O篇(下)

    XDC中的I/O約束雖然形式簡單,但整體思路和約束方法卻與UCF大相徑庭。加之FPGA的應用特性決定了其在接口上有多種構建和實現方式,所以從UCF到XDC的轉換過程中,最具挑戰的可以說便是本文將要
    發表于 11-17 19:01 ?6828次閱讀
    <b class='flag-5'>FPGA</b>設計<b class='flag-5'>約束</b>技巧之XDC<b class='flag-5'>約束</b>之I/O篇(下)

    XDC約束物理約束的介紹

    觀看視頻,了解和學習有關XDC約束,包括時序,以及物理約束相關知識。
    的頭像 發表于 01-07 07:10 ?5738次閱讀
    XDC<b class='flag-5'>約束</b>及<b class='flag-5'>物理</b><b class='flag-5'>約束</b>的介紹

    簡述Xilinx FPGA管腳物理約束解析

    引言:本文我們簡單介紹下Xilinx FPGA管腳物理約束,包括位置(管腳)約束和電氣約束。
    的頭像 發表于 04-27 10:36 ?3309次閱讀
    簡述Xilinx <b class='flag-5'>FPGA</b>管腳<b class='flag-5'>物理</b><b class='flag-5'>約束</b>解析

    FPGA設計之時序約束

    上一篇《FPGA時序約束分享01_約束四大步驟》一文中,介紹了時序約束的四大步驟。
    發表于 03-18 10:29 ?1402次閱讀
    <b class='flag-5'>FPGA</b>設計之時序<b class='flag-5'>約束</b>

    Xilinx FPGA約束設置基礎

    LOC約束FPGA設計中最基本的布局約束和綜合約束,能夠定義基本設計單元在FPGA芯片中的位置
    發表于 04-26 17:05 ?171次閱讀
    Xilinx <b class='flag-5'>FPGA</b>的<b class='flag-5'>約束</b>設置基礎
    亚洲欧美日韩精品久久_久久精品AⅤ无码中文_日本中文字幕有码在线播放_亚洲视频高清不卡在线观看
    <acronym id="s8ci2"><small id="s8ci2"></small></acronym>
    <rt id="s8ci2"></rt><rt id="s8ci2"><optgroup id="s8ci2"></optgroup></rt>
    <acronym id="s8ci2"></acronym>
    <acronym id="s8ci2"><center id="s8ci2"></center></acronym>