<acronym id="s8ci2"><small id="s8ci2"></small></acronym>
<rt id="s8ci2"></rt><rt id="s8ci2"><optgroup id="s8ci2"></optgroup></rt>
<acronym id="s8ci2"></acronym>
<acronym id="s8ci2"><center id="s8ci2"></center></acronym>
0
  • 聊天消息
  • 系統消息
  • 評論與回復
登錄后你可以
  • 下載海量資料
  • 學習在線課程
  • 觀看技術視頻
  • 寫文章/發帖/加入社區
會員中心
創作中心

完善資料讓更多小伙伴認識你,還能領取20積分哦,立即完善>

3天內不再提示

FPGA開發中如何對整個設計添加時序約束

FPGA之家 ? 來源:未知 ? 作者:劉勇 ? 2019-07-31 14:50 ? 次閱讀

什么是靜態時序分析?

通俗來說:在輸入信號到輸出信號中,因為經過的傳輸路徑、寄存器、門電路等器件的時間,這個時間就是時序。開發工具不知道我們路徑上的要求,我們通過時序約束來告訴開發工具,根據要求,重新規劃,從而實現我們的時序要求,達到時序的收斂。

我們對整個設計添加時序約束,讓整個設計。

時序的欠約束:約束的少了;

時序的過約束:約束了過了;

時序基本概念:時鐘

建立時間setup和保持時間hold

建立時間:在時鐘上升沿前,數據不能改變的最小時間;

保持時間:在數據上升沿后,數據不能改變的最小時間;

例子

滿足reg的時間符合
建立REG3 setup時間違規,導致輸出不確定

三種時序路徑

分析一個寄存器的延時

setup slack余量,這個時間是差了一個時鐘周期;

數據達到時間,首先是發射時鐘+時鐘到REG1的延時+reg1的延時+傳輸路徑的延時

數據時間需求:鎖存時鐘+時鐘到reg2的延時-setup時間

hold時間余量,這里分析的應該是同一個周期里面的時間,這個時間是對齊的;

聲明:本文內容及配圖由入駐作者撰寫或者入駐合作網站授權轉載。文章觀點僅代表作者本人,不代表電子發燒友網立場。文章及其配圖僅供工程師學習之用,如有內容侵權或者其他違規問題,請聯系本站處理。 舉報投訴
  • FPGA
    +關注

    關注

    1610

    文章

    21374

    瀏覽量

    595031
  • 時序設計
    +關注

    關注

    0

    文章

    21

    瀏覽量

    43902

原文標題:FPGA學習-時序分析基礎001

文章出處:【微信號:zhuyandz,微信公眾號:FPGA之家】歡迎添加關注!文章轉載請注明出處。

收藏 人收藏

    評論

    相關推薦

    Xilinx FPGA編程技巧之常用時序約束詳解

    今天給大俠帶來Xilinx FPGA編程技巧之常用時序約束詳解,話不多說,上貨。 基本的約束方法 為了保證成功的設計,所有路徑的時序要求
    發表于 05-06 15:51

    時序約束實操

    添加約束的目的是為了告訴FPGA你的設計指標及運行情況。在上面的生成約束之后,在Result àxx.sdc中提供約束參考(請注意該文件不能
    的頭像 發表于 04-28 18:36 ?1314次閱讀
    <b class='flag-5'>時序</b><b class='flag-5'>約束</b>實操

    Xilinx FPGA編程技巧之常用時序約束詳解

    今天給大俠帶來Xilinx FPGA編程技巧之常用時序約束詳解,話不多說,上貨。 基本的約束方法為了保證成功的設計,所有路徑的時序要求
    發表于 04-12 17:39

    FPGA時序約束--基礎理論篇

    FPGA開發過程中,離不開時序約束,那么時序約束是什么?簡單點說,
    發表于 11-15 17:41

    FPGA約束設計和時序分析

    在進行FPGA的設計時,經常會需要在綜合、實現的階段添加約束,以便能夠控制綜合、實現過程,使設計滿足我們需要的運行速度、引腳位置等要求。通常的做法是設計編寫約束文件并導入到綜合實現工具
    發表于 09-21 07:45

    FPGA I/O口時序約束講解

    前面講解了時序約束的理論知識FPGA時序約束理論篇,本章講解時序
    發表于 08-14 18:22 ?1062次閱讀
    <b class='flag-5'>FPGA</b> I/O口<b class='flag-5'>時序</b><b class='flag-5'>約束</b>講解

    FPGA時序約束時序路徑和時序模型

    時序路徑作為時序約束時序分析的物理連接關系,可分為片間路徑和片內路徑。
    發表于 08-14 17:50 ?577次閱讀
    <b class='flag-5'>FPGA</b><b class='flag-5'>時序</b><b class='flag-5'>約束</b>之<b class='flag-5'>時序</b>路徑和<b class='flag-5'>時序</b>模型

    FPGA時序約束之建立時間和保持時間

    FPGA時序約束是設計的關鍵點之一,準確的時鐘約束有利于代碼功能的完整呈現。進行時序約束,讓軟
    發表于 08-14 17:49 ?973次閱讀
    <b class='flag-5'>FPGA</b><b class='flag-5'>時序</b><b class='flag-5'>約束</b>之建立時間和保持時間

    淺談時序設計和時序約束

    ??本文主要介紹了時序設計和時序約束。
    的頭像 發表于 07-04 14:43 ?929次閱讀

    時序約束怎么用?時序約束到底是要干嘛?

    很多小伙伴開始學習時序約束的時候第一個疑惑就是標題,有的人可能會疑惑很久。不明白時序約束是什么作用,更不明白怎么用。
    的頭像 發表于 06-28 15:10 ?1091次閱讀
    <b class='flag-5'>時序</b><b class='flag-5'>約束</b>怎么用?<b class='flag-5'>時序</b><b class='flag-5'>約束</b>到底是要干嘛?

    如何在Vivado中添加時序約束呢?

    今天介紹一下,如何在Vivado中添加時序約束,Vivado添加約束的方法有3種:xdc文件、時序
    的頭像 發表于 06-26 15:21 ?2558次閱讀
    如何在Vivado中<b class='flag-5'>添加</b><b class='flag-5'>時序</b><b class='flag-5'>約束</b>呢?

    FPGA設計衍生時鐘約束和時鐘分組約束設置

    FPGA設計中,時序約束對于電路性能和可靠性非常重要。
    發表于 06-26 14:53 ?1090次閱讀
    <b class='flag-5'>FPGA</b>設計衍生時鐘<b class='flag-5'>約束</b>和時鐘分組<b class='flag-5'>約束</b>設置

    FPGA時序約束的原理是什么?

    FPGA開發過程中,離不開時序約束,那么時序約束是什么?簡單點說,
    發表于 06-26 14:42 ?423次閱讀
    <b class='flag-5'>FPGA</b><b class='flag-5'>時序</b><b class='flag-5'>約束</b>的原理是什么?

    FPGA設計-時序約束(理論篇)

    STA(Static Timing Analysis,即靜態時序分析)在實際FPGA設計過程中的重要性是不言而喻的
    發表于 06-26 09:01 ?420次閱讀
    <b class='flag-5'>FPGA</b>設計-<b class='flag-5'>時序</b><b class='flag-5'>約束</b>(理論篇)

    如何在Vivado中添加時序約束

    前面幾篇文章已經詳細介紹了FPGA時序約束基礎知識以及常用的時序約束命令,相信大家已經基本掌握了時序
    的頭像 發表于 06-23 17:44 ?1575次閱讀
    如何在Vivado中<b class='flag-5'>添加</b><b class='flag-5'>時序</b><b class='flag-5'>約束</b>
    亚洲欧美日韩精品久久_久久精品AⅤ无码中文_日本中文字幕有码在线播放_亚洲视频高清不卡在线观看
    <acronym id="s8ci2"><small id="s8ci2"></small></acronym>
    <rt id="s8ci2"></rt><rt id="s8ci2"><optgroup id="s8ci2"></optgroup></rt>
    <acronym id="s8ci2"></acronym>
    <acronym id="s8ci2"><center id="s8ci2"></center></acronym>