<acronym id="s8ci2"><small id="s8ci2"></small></acronym>
<rt id="s8ci2"></rt><rt id="s8ci2"><optgroup id="s8ci2"></optgroup></rt>
<acronym id="s8ci2"></acronym>
<acronym id="s8ci2"><center id="s8ci2"></center></acronym>

電子發燒友App

硬聲App

0
  • 聊天消息
  • 系統消息
  • 評論與回復
登錄后你可以
  • 下載海量資料
  • 學習在線課程
  • 觀看技術視頻
  • 寫文章/發帖/加入社區
創作中心

完善資料讓更多小伙伴認識你,還能領取20積分哦,立即完善>

3天內不再提示

電子發燒友網>可編程邏輯>利用FPGA技術能更方便靈活設計出浮點運算器

利用FPGA技術能更方便靈活設計出浮點運算器

收藏

聲明:本文內容及配圖由入駐作者撰寫或者入駐合作網站授權轉載。文章觀點僅代表作者本人,不代表電子發燒友網立場。文章及其配圖僅供工程師學習之用,如有內容侵權或者其他違規問題,請聯系本站處理。 舉報投訴

評論

查看更多

相關推薦

基于INTEL FPGA浮點DSP實現卷積運算詳解

卷積是一種線性運算,其本質是滑動平均思想,廣泛應用于圖像濾波。而隨著人工智能及深度學習的發展,卷積也在神經網絡中發揮重要的作用,如卷積神經網絡。本參考設計主要介紹如何基于INTEL 硬浮點的DSP
2018-07-23 09:09:457321

如何用FPGA實現浮點運算

大部分運算可以通過擴位和近似的方式轉換為定點運算。但有些算法在設計在設計的過程中就涉及大量的浮點運算,在轉換為定點運算時比較麻煩,會帶來龐大的工作量。
2022-09-08 15:41:562614

FPGA verilog浮點運算

求用verilog實現浮點運算的資料,謝謝
2016-12-17 21:15:52

FPGA 如何進行浮點運算

FPGA 如何進行浮點運算
2015-09-26 09:31:37

FPGA浮點IP內核有哪些優勢?

了 100 GFLOPS。在所有信號處理算法中,對于只需要動態范圍浮點算法的很多高性能 DSP應用,這是非常重要的優點。選擇 FPGA并結合浮點工具和 IP,設計人員能夠靈活的處理定點數據寬度、浮點數據精度和達到的性能等級,而這是處理體系結構所無法實現的。
2019-08-13 06:42:48

浮點運算的定點編程看完你就懂了

詳解浮點運算的定點編程  
2021-04-02 06:59:52

浮點運算問題

嗨,我在我的應用程序中使用PIC32 MX250F256H控制。我面臨著浮點運算的一些問題。浮點F=0in主體(){f=(浮點)(47.171143947.0);}我應該得到f=0.1711439
2018-10-08 15:55:49

運算器的相關資料下載

實驗一 運算器實驗簡介:運算器是數據的加工處理部件,是CPU的重要組成部分,各類計算機的運算器結構可能有所不同,但是他們的最基本的結構中必須有算術/邏輯運算單元、數據緩沖寄存、通用寄存、多路
2022-01-25 08:11:31

Altera浮點矩陣相乘IP核怎么提高運算速度?

語言編寫的浮點矩陣相乘處理單元[1],其關鍵技術是乘累加單元的設計,這樣設計的硬件,其性能依賴于設計者的編程水平。此外,FPGA廠商也推出了一定規模的浮點矩陣運算IP核[2],雖然此IP核應用了本廠家的器件,并經過專業調試和硬件實測,性能穩定且優于手寫代碼,但仍可對其進行改進,以進一步提高運算速度。
2019-08-22 06:41:38

C6678 浮點除法運算的效率

使用c6678進行浮點除法運算的時間測試的時候(使用clock),發現(使用c6678evm板)運行時間很長,運算時間達到七百多個時鐘周期。請問是什么原因?c6678本身的浮點除法達到什么樣的運算速度呢?
2018-06-21 13:49:31

CPU=運算器+控制

1、CPU=運算器+控制,對應圖靈機的“讀寫頭”,但是圖靈機的讀寫頭可以自動取紙帶上數據、做運算、存結果。而馮氏結構中進行改進,就是讀程序、翻譯程序、執行程序。其中讀程序和執行程序對應于“自動
2021-12-20 08:18:48

CPU執行一個需要浮點運算的程序時有三種方式

編者按:在計算領域,例如三角函數以及時域頻域變換通常會用到浮點運算。當CPU執行一個需要浮點運算的程序時,有三種方式可以執行:軟件仿真浮點運算函數庫)、附加浮點運算器和集成浮點運算單元。在控制
2021-08-27 07:51:06

EasyArm支持浮點運算請問可以實現嗎

在《ARM微控制基礎與實戰》的 2.6.3 節有說到"浮點數寄存(F0-F7...)",2104是否具有這些寄存如果 EasyArm 不支持浮點運算,而我的程序需要用到浮點運算,請問可以實現嗎
2022-11-07 15:24:11

M4內的DSP如果我在做些浮點或是整形的運算時M4自己會用DSP做運算

你好! 按規格書上說,現在的M4內帶有DSP。 我在程序的初始化的時候,利用ROM_FPULazyStackingEnable();使浮點運算,那么如果我在做些浮點或是整形的運算,或是一些算法的時候,M4 自己會用DSP做運算,還是需要人為干預?還是有庫可用? 謝謝。
2018-08-16 07:49:39

TMS320C6678 1秒鐘算20G次浮點運算嗎?

芯片介紹上寫浮點運算能力達到20GFLOPS,是說1秒鐘算20G次浮點運算嗎?按照主頻1.25GHz算,相當于每ns計算16次浮點運算對嗎?那如果計算1百萬次浮點數加法需要多少時間呢?能不能請懂的人估算一下~~【我估算的和實測的差別太大了,不知道是不是估算方法出了什么問題】
2019-01-07 11:08:27

一位整數立方運算器!大神賜教???!

verilog 語言寫個 一位整數立方運算器 的程序 ??!
2017-06-07 10:41:19

一位整數立方運算器??!急!大神賜教???!

用verilog寫一個一位整數立方運算器 程序?。?!
2017-06-07 21:18:43

為什么研究浮點加法運算,對FPGA實現方法很有必要?

現代信號處理技術通常都需要進行大量高速浮點運算。由于浮點數系統操作比較復雜,需要專用硬件來完成相關的操作(在浮點運算中的浮點加法運算幾乎占到全部運算操作的一半以上),所以,浮點加法器是現代信號
2019-07-05 06:21:42

關于使用浮點運算的總結

總結: 1.使用浮點運算的小數點后面必須加(f),不然就默認成了雙精度浮點類型,計算速度變得很慢。(和編譯無關,測試使用最新KEIL)。2018 / 12
2021-08-11 08:01:55

基于FPGA的實時互相關運算器

基于FPGA的實時互相關運算器對無源雷達的直通信號和反射信號進行互相關運算可以檢測目標是否存在。本文介紹了基于FPGA流水線操作的陣列運算器,該運算器有32個并行乘加運算單元時分,完成256個探測
2009-09-19 09:25:42

如何利用FPGA實現高速流水線浮點加法器研究?

現代信號處理技術通常都需要進行大量高速浮點運算。由于浮點數系統操作比較復雜,需要專用硬件來完成相關的操作(在浮點運算中的浮點加法運算幾乎占到全部運算操作的一半以上),所以,浮點加法器是現代信號
2019-08-15 08:00:45

如何利用ARM與FPGA設計重構控制?

可重構技術是指利用可重用的軟硬件資源,根據不同的應用需求,靈活地改變自身體系結構的設計方法。常規SRAM工藝的FPGA都可以實現重構,那我們具體該怎么做呢?
2019-08-09 07:35:02

如何利用DSP與FPGA設計運動控制?

的邏輯處理和控制算法,實現多軸高速高精度的伺服控制。利用DSP與FPGA設計運動控制,其中DSP用于運動軌跡規劃、速度控制及位置控制等功能;FPGA完成運動控制的精插補功能,用于精確計算步進電機或伺服驅動元件的控制脈沖,同時接收并處理脈沖型位置反饋信號。那么,我們具體該怎么做呢?
2019-08-06 06:27:00

如何在FPGA上實現硬件上的FFT算法

能夠充分利用有限位長。這樣處理比定點方法擴大了動態范圍,并且提高了精度,比浮點運算在速度上有了提高。塊浮點結構如圖4所示。3 結 語著重討論基于FPGA的64點高速FFT算法的實現方法。采用高基數結構和流水線
2019-06-17 09:01:35

怎么樣方便快速地將浮點數輸出到顯示器件上呢

怎樣直接使用stm32的printf函數正確打印數據呢?怎么樣方便快速地將浮點數輸出到顯示器件上呢?
2021-12-02 06:56:43

怎樣去通過門電路去實現一種運算器設計呢

運算器的工作原理是什么?怎樣去通過門電路去實現一種運算器設計呢?
2021-10-20 07:14:31

急問,請大家幫幫忙?求圖片中集成運算器的輸出電壓?

`求圖片中集成運算器的輸出電壓?`
2011-04-24 21:31:28

擴充浮點運算集是否需要自己在FPGA板子上設置一個定點數轉為浮點數的部分?

擴充浮點運算集的時候,是否需要自己在FPGA板子上設置一個定點數轉為浮點數的部分?
2023-08-11 09:13:34

機器學習處理單元支持浮點的乘加運算

?! chronix為了解決這一大困境,創新地設計了機器學習處理(MLP)單元,不僅支持浮點的乘加運算,還可以支持對多種定浮點數格式進行拆分。
2020-11-26 06:42:00

永磁同步電機驅動的浮點運算

1.原來就知道STM32F407貴 ,現在徹底的貴了。永磁同步電機驅動 一般需要用到SVPWM 坐標變換 浮點會快很多,還有弱磁算法都需要浮點運算,160M的主頻,一個周期 計算時間一般在60微秒
2021-08-27 07:00:39

求一種在FPGA上實現單精度浮點加法運算的方法

介紹一種在FPGA上實現的單精度浮點加法運算器,運算器算法的實現考慮了FPGA器件本身的特點,算法處理流程的拆分和模塊的拆分,便于流水設計的實現。
2021-04-29 06:27:09

FPGA 嵌入式處理實現高性能浮點元算

有助于使成本和功耗降至最低,而且還能盡可能地加速硬件部署。FPGA 非常適用于執行定點運算,并能在邏輯或基于軟件或硬件處理的實施方案中創建高度并行的數據路徑解決方案。Virtex?-5 FPGA 產品
2018-08-03 11:15:23

用AM2901+AT28C64做一個運算器電路

用4片AM2901+AT28C64做一個16位運算器電路不需要能真實跑起來
2020-10-28 15:54:59

矢量運算器的PMSM-FOC

仿真的原理圖和結果都仿真里面可以方便對照。ps.個人有點在意模型搭建的簡潔性和美觀性,在外觀上簡潔美觀的,在性能上也會更好。less is more- .-目錄總體仿真框圖1.矢量運算器的PMSM-FOC2.PMSM-FOC采用電流滯環3.PMSM-FOC 采用...
2021-08-27 06:48:12

請問28335怎么支持雙精度浮點運算

因為有效位數的問題,怎么提高浮點型的運算精度
2018-10-08 11:00:38

請問定點DSP進行浮點運算的效率

6713的主頻是300M,6455是1.2G的主頻,如果進行浮點運算,大概相當于浮點的主頻是多少?能不能趕上6713?我想用定時統計相同代碼段在6713和6455的運行時間,6455的CSL升級了,定時的操作沒有搞定,特在此求教!謝謝!
2018-08-19 07:46:35

請問怎么設計一種小規模的大數值運算器?

怎么設計一種小規模的大數值運算器?CSTU安全芯片體系結構是怎么的?
2021-04-19 10:53:06

超長數加減法運算器及相關指令設計,實現精確計算

8位單片機能夠實現超長數計算嗎?我說:"只要存儲夠大,按照下面的方法設計的加減法運算器及指令,就能夠編寫程序完成。"1。用全加器搭建一個8位加法器;2。將最低下進位前連接一個1位寄存,輸入端連接
2014-09-22 03:09:55

采用FPGA和MicroBlaze進行嵌入式系統設計

本文采用FPGA 和MicroBlaze 進行嵌入式系統設計,文中在分析了FFT算法后,描述了運算的蝶形單元,地址生成單元及FFT的實現過程。從實際設計出發,完成了基于FPGA的單精度浮點運算器
2021-02-22 07:36:49

浮點運算方法

浮點運算方法:  
2008-01-16 09:22:3729

DSP的浮點運算方法

  DSP的浮點運算方法
2008-01-16 09:25:054

定點dsp浮點運算教程

定點dsp浮點運算的多媒體視頻教程:
2008-01-24 09:14:2150

用VHDL語言在CPLD/ FPGA上實現浮點運算

 介紹了用VHDL 語言在硬件芯片上實現浮點加/ 減法、浮點乘法運算的方法,并以Altera 公司的FLEX10K系列產品為硬件平臺,以Maxplus II 為軟件工具,實現了6 點實序列浮點加/ 減法
2009-07-28 14:06:1385

基于FPGA的信號互相關運算器研究

本文采用ALTERA 公司FPGA 作為算法處理器件,實現了互相關算法,取得了很好的效果。本文根據相關算法的運算原理并考慮了模塊與外部的交互性,在FPGA 中配置設計了互相關運算模塊
2009-09-01 09:48:2513

基于FPGA的高精度浮點IIR濾波器設計

本文詳細討論了利用新版本FPGA 輔助設計軟件QuartusII6.0 中提供的浮點運算功能模塊實現IIR 濾波器的方法,與采用FPGA 的乘法模塊的同類設計相比,此濾波器設計結構簡單,容易擴
2009-12-19 15:44:2738

用DYL電路實現四值Searle運算器

摘要:本文采用DYL電路的基本邏輯單元實現了四值Searle運算器,給出了四值Searle四種運算邏輯設計公式及邏輯網絡,為四值Searle運算器的工程應用提供了可能。主題詞:Searle運算
2010-05-15 09:01:299

基于FPGA的信號互相關運算器研究

本文采用ALTERA公司FPGA作為算法處理器件,實現了互相關算法,取得了很好的效果。本文根據相關算法的運算原理并考慮了模塊與外部的交互性,在FPGA中配置設計了互相關運算模塊、并串
2010-07-21 09:35:4019

FFT(快速傅里葉變換)運算器電路圖

FFT(快速傅里葉變換)運算器電路圖
2009-07-16 11:49:184299

FFT(快速傅里葉變換)運算器電路圖

FFT(快速傅里葉變換)運算器電路圖
2009-07-20 11:29:202144

高速流水線浮點加法器的FPGA實現

高速流水線浮點加法器的FPGA實現 0  引言現代信號處理技術通常都需要進行大量高速浮點運算。由于浮點數系統操作比較復雜,需要專用硬件來完成相關的操
2010-02-04 10:50:232042

運算器,運算器的作用和原理是什么?

運算器,運算器的作用和原理是什么? 運算器:arithmetic unit,計算機中執行各種算術和邏輯運算操作的部件。運算器的基本操作包括加、減、乘、除四
2010-03-24 17:01:0731076

芯片解密知識:單片機的運算器

芯片解密知識:單片機的運算器   運算器以完成二進制的算術/邏輯運算部件ALU為核心,再加上暫存器TMP、累加器ACC、寄存器B、程
2010-03-27 17:05:062170

定點運算器的基本結構(圖解篇)

定點運算器的基本結構(圖解篇)    運算器包括ALU陣列乘除器寄存器多路開關三態緩沖器數據總線等邏輯部件?!   ?b class="flag-6" style="color: red">運算器的設計,主要是圍繞ALU和
2010-04-13 10:41:5110643

浮點運算浮點運算器

浮點運算浮點運算器 浮點加減法的運算步驟 設兩個浮點數 X=Mx※2Ex Y=My※2Ey 實現X±Y要用如下5步完成: ①對階操作:小階
2010-04-15 13:42:326497

運算器部件的組成及原理設計

運算器部件的組成及原理設計 運算器部件是計算機中進行數據加工的部件,其主要功能包括: 1. 執行數值數據的算術加減乘除等運算,
2010-04-15 14:59:5612829

基于FPGA高精度浮點運算器的FFT設計與仿真

提出一種基2FFT的FPGA方法,完成了基于FPGA高精度浮點運算器的FFT的設計。利用VHDL語言描述了蝶形運算過程及地址產生單元,其仿真波形基本能正確的表示輸出結果。
2011-12-23 14:24:0846

浮點 DSP 運算效率不高

STM32F4的浮點 DSP 運算效率不高
2015-12-07 17:55:140

C語言實現運算器的原理完整編程代碼

C語言實現運算器的原理 完整編程代碼C language implementation of the principle of the operator complete programming code
2016-07-08 11:33:084

如何引爆您的浮點運算?加塊Zynq

讓四核酷睿i7處理器的 PC機的浮點運算性能提高1.7倍,功耗僅僅增加10%左右。Rutten寫道: “根據測試軟件,一個典型的i7 PC平臺的浮點運算性能大約是每秒75GFLOPS。通過給PC機增加一個基于FPGA的SOM,利
2017-02-09 06:15:081160

ARM處理器的浮點運算單元

  Float Point Unit,浮點運算單元是專用于浮點運算的協處理器,在計算領域,例如三角函數以及時域頻域變換通常會用到浮點運算。
2017-09-16 11:28:476

高效的C編程之:浮點運算

14.10 浮點運算 大多數的ARM處理器硬件上并不支持浮點運算。但ARM上提供了以下幾個選項來實現浮點運算。 浮點累加協處理器FPA(Floating-Point Accelerator):ARM
2017-10-17 16:48:391

定點DSP C55X實現浮點相關運算解析

引 言 DSP結構可以分為定點和浮點型兩種。其中,定點型DSP可以實現整數、小數和特定的指數運算,它具有運算速度快、占用資源少、成本低等特點;靈活地使用定點型DSP進行浮點運算能夠提高運算的效率
2017-11-02 11:26:422

運算器主要功能及分類

運算器:arithmetic unit,計算機中執行各種算術和邏輯運算操作的部件。運算器的基本操作包括加、減、乘、除四則運算,與、或、非、異或等邏輯操作,以及移位、比較和傳送等操作,亦稱算術邏輯部件(ALU)。
2017-11-14 21:45:308496

什么是運算器_運算器由什么組成

運算器由算術邏輯單元(ALU)、累加器、狀態寄存器、通用寄存器組等組成。算術邏輯運算單元(ALU)的基本功能為加、減、乘、除四則運算,與、或、非、異或等邏輯操作,以及移位、求補等操作。
2017-11-15 14:37:5027438

基于FPGA的嵌入式處理器的浮點系統

浮點算法不遵循整數算法規則,但利用 FPGA 或者基于 FPGA 的嵌入式處理器不難設計出精確的浮點系統。工程人員一看到浮點運算就會頭疼,因為浮點運算用軟件實現速度慢,用硬件實現則占用資源多。理解
2017-11-22 16:51:081350

Altera徹底改變基于FPGA浮點DSP

2014年4月23號,北京Altera公司 (Nasdaq: ALTR) 今天宣布在FPGA浮點DSP性能方面實現了變革。Altera是第一家在FPGA中集成硬核IEEE 754兼容浮點運算功能
2018-02-11 13:34:006954

多核浮點非線性運算協處理器設計

算法執行速度慢的問題,提出一種多核并行執行浮點非線性運算處理方法,利用現場可編程門陣列內部并行架構帶來的低延遲特性來提高非線性浮點運算的速度。仿真實驗結果表明,該方法可計算有限定義域范圍內的浮點非線性函數,有效
2018-02-26 14:58:340

CPU 的浮點運算能力比 GPU 差,為什么不提高 CPU 的浮點運算能力呢

為什么 CPU 的浮點運算能力比 GPU 差,為什么不提高 CPU 的浮點運算能力?
2018-03-16 15:12:0214891

浮點運算單元的FPGA實現

浮點加法是數字信號處理中的一種非常頻繁且非常重要的操作,在現代數字信號處理應用中,浮點加法運算幾乎占到全部浮點操作的一半以上。浮點乘法器是高性能DSP(數字信號處理器)的重要部件,是實時處理的核心
2018-04-10 10:47:218

浮點運算FPGA實現

結構復雜,采用DSP實現會增加系統負擔,降低系統速度。在某些對速度要求較高的情況,必須采用專門的浮點運算處理器。 EDA/FPGA技術不斷發展,其高速、應用靈活、低成本的優點使其廣泛應用數字信號處理領域。在FPCA技術應用的初期,
2018-04-10 14:25:5317

Altera FPGA硬核浮點DSP模塊解決方案提高運算性能

以往FPGA在進行浮點運算時,為符合IEEE 754標準,每次運算都需要去歸一化和歸一化步驟,導致了極大的性能瓶頸。因為這些歸一化和去歸一化步驟一般通過FPGA中的大規模桶形移位寄存器實現,需要大量
2020-01-14 16:19:553213

計算機的核心,運算器的詳細講解

首先我們要定義,運算器:arithmetic unit,計算機中執行各種算術和邏輯運算操作的部件。
2020-02-19 16:56:086341

浮點DSP運算效率不高

該問題由某客戶提出,發生在 STM32F407IGT6 器件上。據其工程師講述:由于在其產品中,需要使用STM32進行大量的浮點數以及浮點DSP運算,所以針對STM32的浮點運算能力及 DSP
2021-04-28 15:17:0210

支持并行加速的多比特同態比較運算器

明文的多比特同態比較運算器。利用 cuffe同態算法庫編寫同態比較運算函數并進行測試,結果表明,該比較運算器效率較髙,對100bit的明文進行一次比較運算僅需0.91s。
2021-06-11 11:54:122

FPGA浮點運算定標實現方法

有些FPGA中是不能直接對浮點數進行操作的,只能采用定點數進行數值運算。對于FPGA而言,參與數學運算的書就是16位的整型數,但如果數學運算中出現小數怎么辦呢?要知道,FPGA對小數是無能為力
2021-08-12 09:53:394504

實驗一 運算器實驗

實驗一 運算器實驗簡介:運算器是數據的加工處理部件,是CPU的重要組成部分,各類計算機的運算器結構可能有所不同,但是他們的最基本的結構中必須有算術/邏輯運算單元、數據緩沖寄存器、通用寄存器、多路
2021-11-30 15:06:0615

浮點運算單元FPU能給電機控制帶來什么?

編者按:在計算領域,例如三角函數以及時域頻域變換通常會用到浮點運算。當CPU執行一個需要浮點運算的程序時,有三種方式可以執行:軟件仿真器(浮點運算函數庫)、附加浮點運算器和集成浮點運算單元。在控制
2021-12-04 13:36:0519

如何在FPGA中正確處理浮點運算

使用插值算法實現圖像縮放是數字圖像處理算法中經常遇到的問題。我們經常會將某種尺寸的圖像轉換為其他尺寸的圖像,如放大或者縮小圖像。由于在縮放的過程中會遇到浮點數,如何在FPGA中正確的處理浮點運算是在FPGA中實現圖像縮放的關鍵。
2022-03-18 11:03:414056

基于multisim的加減運算器

基于multisim的加減運算器
2022-06-09 14:38:550

FPGA浮點數轉化為定點數方法

FPGA在常規運算時不能進行浮點運算,只能進行定點整型運算,在處理數據的小數乘加運算和除法運算FPGA一般是無能為力的,其中一種常用的處理方法就是數據進行浮點到定點的轉換。
2022-10-13 16:23:503752

詳解浮點運算的定點編程

我們使用的處理器一般情況下,要么直接支持硬件的 浮點運算 ,比如某些帶有FPU的器件,要么就只支持定點運算,此時對 浮點 數的處理需要通過編譯器來完成。在支持硬件浮點處理的器件上,對 浮點運算
2022-12-09 12:25:091690

FPGA運算單元對高算力浮點應用

隨著 機器學習 (Machine Learning)領域越來越多地使用現場可 編程 門陣列( FPGA )來進行推理(inference)加速,而傳統FPGA只支持定點運算的瓶頸越發凸顯
2023-03-11 13:05:07351

為什么研究浮點加法運算,對FPGA實現方法很有必要?

點擊上方 藍字 關注我們 現代信號處理技術通常都需要進行大量高速浮點運算。由于浮點數系統操作比較復雜,需要專用硬件來完成相關的操作(在浮點運算中的浮點加法運算幾乎占到全部運算操作的一半以上),所以
2023-09-22 10:40:03394

浮點LMS算法的FPGA實現

引言 LMS(最小均方)算法因其收斂速度快及算法實現簡單等特點在自適應濾波器、自適應天線陣技術等領域得到了十分廣泛的應用。為了發揮算法的最佳性能,必須采用具有大動態范圍及運算精度的浮點運算,而浮點
2023-12-21 16:40:01228

已全部加載完成

亚洲欧美日韩精品久久_久久精品AⅤ无码中文_日本中文字幕有码在线播放_亚洲视频高清不卡在线观看
<acronym id="s8ci2"><small id="s8ci2"></small></acronym>
<rt id="s8ci2"></rt><rt id="s8ci2"><optgroup id="s8ci2"></optgroup></rt>
<acronym id="s8ci2"></acronym>
<acronym id="s8ci2"><center id="s8ci2"></center></acronym>