<acronym id="s8ci2"><small id="s8ci2"></small></acronym>
<rt id="s8ci2"></rt><rt id="s8ci2"><optgroup id="s8ci2"></optgroup></rt>
<acronym id="s8ci2"></acronym>
<acronym id="s8ci2"><center id="s8ci2"></center></acronym>
0
  • 聊天消息
  • 系統消息
  • 評論與回復
登錄后你可以
  • 下載海量資料
  • 學習在線課程
  • 觀看技術視頻
  • 寫文章/發帖/加入社區
會員中心
創作中心

完善資料讓更多小伙伴認識你,還能領取20積分哦,立即完善>

3天內不再提示

FPGA中浮點運算定標實現方法

潤和軟件 ? 來源:CSDN技術社區 ? 作者:jf_1689824307.4557 ? 2021-08-12 09:53 ? 次閱讀

有些FPGA中是不能直接對浮點數進行操作的,只能采用定點數進行數值運算。對于FPGA而言,參與數學運算的書就是16位的整型數,但如果數學運算中出現小數怎么辦呢?要知道,FPGA對小數是無能為力的,一種解決辦法就是采用定標。

數的定標就是將要運算的浮點數擴大很多倍,然后取整,再用這個數進行運算,運算得到的結果再縮小相應的倍數就可以了。在設計中,一定不要忘記小數點。在FPGA 中是體現不出來小數點的,小數點的位置只有程序員知道。Q表示小數點的位置,Q15就表示小數點在第15位。

浮點數(x)轉換為定點數(xq):xq=(int)x*2^Q

定點數(xq)轉換浮點數(x):x= (float)xq*2^(-Q)

比如,16進制數2000H,用Q0表示就是8192;若用Q15表示,則為0.25。

下面介紹Q格式運算中Q值的確定:

(1)定點加減法:需要轉換成相同Q格式才能加減

(2)定點乘法:不同Q格式的數據相乘,相當于Q值相加

(3)定點除法:不同Q格式的數據相除,相當于Q值相減

(4)定點左移:相當于Q值增加

(5)定點右移:相當于Q值減少

比如,Q15表示的4000H(浮點數0.5)乘以Q15表示的4000H,4000H×4000H=1000 0000H,乘完之后Q值變為15+15=30,即結果為0.01B,即為浮點數0.25。

編輯:jq

聲明:本文內容及配圖由入駐作者撰寫或者入駐合作網站授權轉載。文章觀點僅代表作者本人,不代表電子發燒友網立場。文章及其配圖僅供工程師學習之用,如有內容侵權或者其他違規問題,請聯系本站處理。 舉報投訴
  • FPGA
    +關注

    關注

    1608

    文章

    21367

    瀏覽量

    594702
  • 數據
    +關注

    關注

    8

    文章

    6542

    瀏覽量

    87807
  • 浮點運算
    +關注

    關注

    0

    文章

    19

    瀏覽量

    11107

原文標題:FPGA中浮點運算實現方法——定標

文章出處:【微信號:hoperun300339,微信公眾號:潤和軟件】歡迎添加關注!文章轉載請注明出處。

收藏 人收藏

    評論

    相關推薦

    FPGA 如何進行浮點運算

    FPGA 如何進行浮點運算
    發表于 09-26 09:31

    為什么研究浮點加法運算,對FPGA實現方法很有必要?

    處理等方面受到了限制,由于FPGA關于浮點數的運算只能自行設計,因此,研究浮點加法運算
    發表于 07-05 06:21

    如何利用FPGA實現高速流水線浮點加法器研究?

    處理等方面受到了限制,為什么研究浮點加法運算FPGA實現方法很有必要? 因為FPGA
    發表于 08-15 08:00

    求一種在FPGA實現單精度浮點加法運算方法

    介紹一種在FPGA實現的單精度浮點加法運算器,運算器算法的實現考慮了
    發表于 04-29 06:27

    浮點運算方法

    浮點運算方法:  
    發表于 01-16 09:22 ?29次下載
    <b class='flag-5'>浮點</b><b class='flag-5'>運算</b><b class='flag-5'>方法</b>

    DSP的浮點運算方法

      DSP的浮點運算方法
    發表于 01-16 09:25 ?4次下載

    基于FPGA 的核物理實驗定標器的設計與實現

    介紹使用現代EDA手段設計核物理實驗常用儀器——定標器的原理和實現方法。新的定標器利用FPGA 技術對系統中大量電路進行集成,結合AT89C
    發表于 05-14 16:07 ?19次下載

    用VHDL語言在CPLD/ FPGA實現浮點運算

     介紹了用VHDL 語言在硬件芯片上實現浮點加/ 減法、浮點乘法運算方法,并以Altera 公司的FLEX10K系列產品為硬件平
    發表于 07-28 14:06 ?85次下載

    浮點運算浮點運算

    浮點運算浮點運算浮點加減法的運算步驟 設兩個浮點
    發表于 04-15 13:42 ?6643次閱讀

    浮點運算單元的FPGA實現

    浮點加法是數字信號處理中的一種非常頻繁且非常重要的操作,在現代數字信號處理應用中,浮點加法運算幾乎占到全部浮點操作的一半以上。浮點乘法器是高
    發表于 04-10 10:47 ?8次下載
    <b class='flag-5'>浮點</b><b class='flag-5'>運算</b>單元的<b class='flag-5'>FPGA</b><b class='flag-5'>實現</b>

    浮點運算FPGA實現

    結構復雜,采用DSP實現會增加系統負擔,降低系統速度。在某些對速度要求較高的情況,必須采用專門的浮點運算處理器。 EDA/FPGA技術不斷發展,其高速、應用靈活、低成本的優點使其廣泛應
    發表于 04-10 14:25 ?17次下載

    FPGA浮點數轉化為定點數方法

    FPGA在常規運算時不能進行浮點運算,只能進行定點整型運算,在處理數據的小數乘加運算和除法
    的頭像 發表于 10-13 16:23 ?4020次閱讀

    FPGA運算單元對高算力浮點應用

    隨著 機器學習 (Machine Learning)領域越來越多地使用現場可 編程 門陣列( FPGA )來進行推理(inference)加速,而傳統FPGA只支持定點運算的瓶頸越發凸顯
    的頭像 發表于 03-11 13:05 ?443次閱讀

    為什么研究浮點加法運算,對FPGA實現方法很有必要?

    ,浮點加法器是現代信號處理系統中最重要的部件之一。FPGA是當前數字電路研究開發的一種重要實現形式,它與全定制ASIC電路相比,具有開發周期短、成本低等優點。 但多數FPGA不支持
    的頭像 發表于 09-22 10:40 ?508次閱讀
    為什么研究<b class='flag-5'>浮點</b>加法<b class='flag-5'>運算</b>,對<b class='flag-5'>FPGA</b><b class='flag-5'>實現</b><b class='flag-5'>方法</b>很有必要?

    浮點LMS算法的FPGA實現

    運算運算步驟遠比定點運算繁瑣,運算速度慢且所需硬件資源大大增加,因此基于浮點運算的LMS算法的
    的頭像 發表于 12-21 16:40 ?407次閱讀
    亚洲欧美日韩精品久久_久久精品AⅤ无码中文_日本中文字幕有码在线播放_亚洲视频高清不卡在线观看
    <acronym id="s8ci2"><small id="s8ci2"></small></acronym>
    <rt id="s8ci2"></rt><rt id="s8ci2"><optgroup id="s8ci2"></optgroup></rt>
    <acronym id="s8ci2"></acronym>
    <acronym id="s8ci2"><center id="s8ci2"></center></acronym>