<acronym id="s8ci2"><small id="s8ci2"></small></acronym>
<rt id="s8ci2"></rt><rt id="s8ci2"><optgroup id="s8ci2"></optgroup></rt>
<acronym id="s8ci2"></acronym>
<acronym id="s8ci2"><center id="s8ci2"></center></acronym>
0
  • 聊天消息
  • 系統消息
  • 評論與回復
登錄后你可以
  • 下載海量資料
  • 學習在線課程
  • 觀看技術視頻
  • 寫文章/發帖/加入社區
創作中心

完善資料讓更多小伙伴認識你,還能領取20積分哦,立即完善>

3天內不再提示

FPGA技術實戰

文章:10 被閱讀:1.3w 粉絲數:0 關注數:0 點贊數:0

廣告

Xilinx FPGA BGA推薦設計規則和策略(二)

工程師必須在設計階段早期評估功率需求,以確保有足夠的層和面積為需要功率的BGA焊盤提供足夠的功率。
的頭像 FPGA技術實戰 發表于 05-01 10:45 ?278次閱讀
Xilinx FPGA BGA推薦設計規則和策略(二)

Xilinx SelectIO資源內部的IDELAYE2應用介紹

本文我們介紹下Xilinx SelectIO資源內部IDELAYE2資源應用。IDELAYE2原句配....
的頭像 FPGA技術實戰 發表于 04-26 11:33 ?274次閱讀
Xilinx SelectIO資源內部的IDELAYE2應用介紹

Vivado編譯常見錯誤與關鍵警告梳理與解析

Xilinx Vivado開發環境編譯HDL時,對時鐘信號設置了編譯規則,如果時鐘由于硬件設計原因分....
的頭像 FPGA技術實戰 發表于 04-15 11:38 ?889次閱讀

XC7K410T-FFG900外設之DDR3硬件設計方案分享

在數據速率帶寬約束方面,DDR3運行速度受限于其與K7-410T FPGA互聯的I/O Bank 管....
的頭像 FPGA技術實戰 發表于 04-12 10:03 ?1009次閱讀
XC7K410T-FFG900外設之DDR3硬件設計方案分享

基于Xilinx K7-410T的高速DAC之AD9129開發筆記(二)

上一篇文章我們簡單介紹了AD9129的基礎知識,包括芯片的重要特性,外部接口相關的信號特性等。本篇我....
的頭像 FPGA技術實戰 發表于 01-14 09:28 ?339次閱讀
基于Xilinx K7-410T的高速DAC之AD9129開發筆記(二)

基于Xilinx K7-410T的高速DAC之AD9129開發筆記

引言:從本文開始,我們介紹下項目中設計的并行LVDS高速DAC接口設計,包括DAC與FPGA硬件接口....
的頭像 FPGA技術實戰 發表于 06-07 10:24 ?782次閱讀
基于Xilinx K7-410T的高速DAC之AD9129開發筆記

基于TXS0108實現FPGA IO Bank接不同外設IO接口電壓轉換

引言:上一篇文章我們介紹了通過添加電阻器、場效應晶體管(FET)開關、電平轉換器甚至其他Xilinx....
的頭像 FPGA技術實戰 發表于 05-16 09:02 ?2399次閱讀
基于TXS0108實現FPGA IO Bank接不同外設IO接口電壓轉換

串行配置和并行配置模式下的多片FPGA配置數據流加載方式

在需要多個FPGA芯片的應用中,如果JTAG鏈上所有FPGA采用相同配置,可以通過“成組”加載方式同....
的頭像 FPGA技術實戰 發表于 02-20 10:18 ?4192次閱讀
串行配置和并行配置模式下的多片FPGA配置數據流加載方式

提高Xilinx FPGA Flash下載速度

最近在編寫完FPGA邏輯,成功生成.bin文件后,發現將數據流文件燒寫到Flash時間過長,突然想起....
的頭像 FPGA技術實戰 發表于 02-14 10:15 ?1085次閱讀

Matlab算法映射至FPGA硬件邏輯

引言:本文分享一篇技術PPT,該PPT主要介紹如何將算法映射到FPGA或ASIC硬件架構。
的頭像 FPGA技術實戰 發表于 01-30 17:37 ?1997次閱讀
亚洲欧美日韩精品久久_久久精品AⅤ无码中文_日本中文字幕有码在线播放_亚洲视频高清不卡在线观看
<acronym id="s8ci2"><small id="s8ci2"></small></acronym>
<rt id="s8ci2"></rt><rt id="s8ci2"><optgroup id="s8ci2"></optgroup></rt>
<acronym id="s8ci2"></acronym>
<acronym id="s8ci2"><center id="s8ci2"></center></acronym>