<acronym id="s8ci2"><small id="s8ci2"></small></acronym>
<rt id="s8ci2"></rt><rt id="s8ci2"><optgroup id="s8ci2"></optgroup></rt>
<acronym id="s8ci2"></acronym>
<acronym id="s8ci2"><center id="s8ci2"></center></acronym>
0
  • 聊天消息
  • 系統消息
  • 評論與回復
登錄后你可以
  • 下載海量資料
  • 學習在線課程
  • 觀看技術視頻
  • 寫文章/發帖/加入社區
創作中心

完善資料讓更多小伙伴認識你,還能領取20積分哦,立即完善>

3天內不再提示

Vivado編譯常見錯誤與關鍵警告梳理與解析

FPGA技術實戰 ? 來源:FPGA技術實戰 ? 2024-04-15 11:38 ? 次閱讀

引言:本文對Vivado編譯時常見的錯誤或者關鍵警告做一些梳理匯總,便于日后歸納總結。

1. 普通IO引腳約束為時鐘時報錯。
原因:Xilinx Vivado開發環境編譯HDL時,對時鐘信號設置了編譯規則,如果時鐘由于硬件設計原因分配到了普通IO上,而非_SRCC或者_MRCC專用時鐘管腳上時,編譯器就會提示錯誤。
措施:可在XDC引腳約束中添加一條語句:
set_property CLOCK_DEDICATED_ROUTE FALSE [get_nets adc_clk]
2. [Synth 8-1751] cannot index into non-array adc_data。
原因:數據位寬不對,定義數據時定義了一位位寬,實際需要16位位寬。
措施:修改位寬為16位。
3. [Synth 8-2715] syntax error near。
原因:此類錯誤大多是語法問題,如逗號,括號,冒號之類。
措施:根據錯誤信息提示,定位到錯誤的行數,仔細查看是否存在上述問題。
4. [Synth 8-3352] multi-driven net Q with 2nd driver pin 'GND。
原因:信號被多處驅動,在多個 always 語句塊中被賦值。
措施:程序設計應避免此類情況的發生,此時應該考慮重新設計程序。
5. [Opt 31-67] Problem: A LUT2 cell in the design is missing a connection on input pin I0, which is used by the LUT equation. This pin has either been left unconnected in the design or the connection was removed due to the trimming of unused logic. The LUT cell name is: logic_[0]_i_1
原因:某個input沒有上層數據的輸入。
措施:set_property DONT_TOUCH true [get_cells ]。
6. [Synth 8-3352] multi-driven net count[9] with 2nd driver pin 'cnt_reg[9]/Q'。
原因:在程序設計過程中出現了變量的重復定義。
措施:把重復定義的變量去除即可。
7. [Synth 8-1031] cnt is not declared。
原因:信號沒有被聲明,多出現在中間信號。
措施:給中間信號添加聲明,如 reg、wire。
8. The debug port ‘u_ila_0/probe4’ has 1 unconnected channels (bits). This will cause errors during implementation。
原因:使用ILA時常見的錯誤,意思是有一些接口沒有連接。
措施:檢查ILA的例化,1)是不是全部的probe都連到了信號上。
2)每一個probe和連接的信號位寬是否一致,不一致的話也會出現這個錯誤。
9.[Synth 8-2576] procedural assignment to a non-register data is not permitted。
原因:信號沒有被聲明,多出在輸出信號下。
措施:信號在 always 語句塊中,被賦值的信號應該添加類型 reg。
10. [Common 17-680] Path length exceeds 260-Byte maximum allowed by Windows。
原因:文件路徑太長。

措施:縮短工程路徑。
11. [Synth 8-7023] instance 'u_count' of module 'count' has 7 connections declared, but only 6 given。
原因:信號位寬多余。
措施:給與信號正確的位寬,或不管也可以,Vivado 會自動優化多余的位線。
12. ordered port connections cannot be mixed with named port connections。
原因:語法錯誤。
措施:例化模塊時符號錯誤,檢查 “.” 和 “,” 有沒有多和少,最后例化的參數后面沒有 “,”。
13. [Common 17-39] 'open_hw_target' failed due to earlier errors。
原因:無法找到下載鏈。
措施:查看下載器連接,連接是否牢固,或開發板是否上電。
14. [[DRC BIVC-1] the following port in this bank have conflicting VCCOs: sys_clk (LVCMOS18,requiring VCCO=1.800)。
原因:管腳未做約束。
措施:可以在XDC約束文件中加入時鐘約束:set_property IOSTANDARD LVCMOS33 [get_ports sys_clk] set_property PACKAGE_PIN R4 [get_ports sys_clk]。
15. [Common 17-55] 'set_property' expects at least one object。
原因:XDC約束文件中存在一個無用的管腳約束,可能是上個設計遺留或者疏忽造成。
措施:刪除該管腳約束即可。
16. [Opt 31-67] Problem: A LUT4 cell in the design is missing a connection on input pin l1, which is used by the LUT equatoin. This pin has either been left unconnected in the design or the connection was removed due to the trimming of unused。
原因:存在port沒有連接。
措施:error會指出出問題cell的path,去追一下就可以了,一般來說不用追到最底層,在前面幾級就能發現有些port沒連。

審核編輯:黃飛

聲明:本文內容及配圖由入駐作者撰寫或者入駐合作網站授權轉載。文章觀點僅代表作者本人,不代表電子發燒友網立場。文章及其配圖僅供工程師學習之用,如有內容侵權或者其他違規問題,請聯系本站處理。 舉報投訴
  • Xilinx
    +關注

    關注

    70

    文章

    2121

    瀏覽量

    119505
  • 編譯器
    +關注

    關注

    1

    文章

    1576

    瀏覽量

    48687
  • 時鐘信號
    +關注

    關注

    4

    文章

    380

    瀏覽量

    28123
  • Vivado
    +關注

    關注

    18

    文章

    790

    瀏覽量

    65270

原文標題:Vivado編譯常見錯誤合集(一)

文章出處:【微信號:FPGA技術實戰,微信公眾號:FPGA技術實戰】歡迎添加關注!文章轉載請注明出處。

收藏 人收藏

    評論

    相關推薦

    Vivado 實現

    先給大家簡單快速地介紹一下 Vivado 集成設計環境,即 IDE。當打開 Vivado 工程后,會有一個工程概要,向您介紹工程的設置、警告錯誤信息以及工程的一般狀態。
    發表于 04-25 09:00 ?6440次閱讀

    VIVADO軟件使用問題總結

    關鍵問題?。。?!重要?。?!】VIVADO會在MESSAGE窗口出提示很多錯誤警告信息!
    的頭像 發表于 12-15 10:11 ?901次閱讀
    <b class='flag-5'>VIVADO</b>軟件使用問題總結

    keil常見錯誤警告分析

    keil常見錯誤警告分析
    發表于 08-19 09:38

    Keil C編譯常見警告錯誤信息的解決方法

    Keil C編譯常見警告錯誤信息的解決方法
    發表于 08-20 14:12

    Keil常見錯誤警告

    Keil常見錯誤警告,大家看看有用的
    發表于 08-29 17:35

    編譯中常出現的7個警告錯誤

    我們使用軟件編譯工程時,經常會遇到這樣或者那樣的警告或者錯誤,這邊提供的常見的7個警告和7個錯誤
    發表于 01-26 21:27

    keil編輯器的常見警告錯誤信息解決方法PDF下載

    好東西···Keil C編譯常見警告錯誤信息的解決方法.pdf 2015-7-25 12:15 上傳 點擊文件名下載附件 152.25 KB, 下載次數: 12
    發表于 07-19 06:13

    運行vivado時出現錯誤消息

    嗨,用戶報告在運行vivado時看到以下錯誤消息。請幫忙。命令:write_bitstream -force ./vivado/pm14100_pvexpress_wrapper_0.bit嘗試獲取
    發表于 12-25 11:00

    常見stm32編譯錯誤解決方案

    常見stm32編譯錯誤解決方案,用處多多與大家分享
    發表于 12-01 18:16 ?3次下載

    Keil常見錯誤警告

    該文檔詳細介紹了在Keil編譯環境下的常見錯誤警告,及其解決方法
    發表于 08-29 14:22 ?4次下載

    Keil常見錯誤警告

    Keil常見錯誤警告,感興趣的小伙伴們可以瞧一瞧。
    發表于 11-17 11:43 ?0次下載

    常見gcc編譯警告整理以及解決方法

     GCC有很多的編譯選項,警告選項;指定頭文件、庫路徑;優化選項。本文針整理一下GCC的警告選項以及gcc編譯警告整理和解決方法為中心而展開
    發表于 11-14 11:19 ?2w次閱讀

    KEIL C編譯器中常見警告錯誤信息的詳細解決辦法資料概述

    本文檔的主要內容詳細介紹的是KEIL C編譯器中常見警告錯誤信息的詳細解決辦法資料概述。
    發表于 11-07 17:43 ?14次下載

    keil中常見的各種錯誤警告以及相應的解決方法資料說明

    本文檔的主要內容詳細介紹的是簡單整理的keil中常見的各種錯誤警告以及相應的解決方法。
    發表于 03-08 08:00 ?14次下載
    keil中<b class='flag-5'>常見</b>的各種<b class='flag-5'>錯誤</b><b class='flag-5'>警告</b>以及相應的解決方法資料說明

    Keil編譯常見錯誤詳細說明

    本文檔的主要內容詳細介紹的是Keil編譯常見錯誤詳細說明。
    發表于 09-30 17:28 ?21次下載
    Keil<b class='flag-5'>編譯</b>的<b class='flag-5'>常見</b><b class='flag-5'>錯誤</b>詳細說明
    亚洲欧美日韩精品久久_久久精品AⅤ无码中文_日本中文字幕有码在线播放_亚洲视频高清不卡在线观看
    <acronym id="s8ci2"><small id="s8ci2"></small></acronym>
    <rt id="s8ci2"></rt><rt id="s8ci2"><optgroup id="s8ci2"></optgroup></rt>
    <acronym id="s8ci2"></acronym>
    <acronym id="s8ci2"><center id="s8ci2"></center></acronym>