<acronym id="s8ci2"><small id="s8ci2"></small></acronym>
<rt id="s8ci2"></rt><rt id="s8ci2"><optgroup id="s8ci2"></optgroup></rt>
<acronym id="s8ci2"></acronym>
<acronym id="s8ci2"><center id="s8ci2"></center></acronym>
0
  • 聊天消息
  • 系統消息
  • 評論與回復
登錄后你可以
  • 下載海量資料
  • 學習在線課程
  • 觀看技術視頻
  • 寫文章/發帖/加入社區
創作中心

完善資料讓更多小伙伴認識你,還能領取20積分哦,立即完善>

3天內不再提示

VIVADO軟件使用問題總結

Hack電子 ? 來源:明德揚FPGA科教 ? 作者:明德揚FPGA科教 ? 2023-12-15 10:11 ? 次閱讀

【關鍵問題!!!!重要!!!】VIVADO會在MESSAGE窗口出提示很多錯誤和警告信息!

wKgaomV7teyAeIfXAAB3UYEkR1A864.png

但建議大家不要看此信息,原因如下:

這些信息有可能是過時的,可能是之前報錯了,因此修正了,這些信息沒有更新。

有些信息是錯誤的,但不影響整個工程的運行,例如某個IP核出錯,但實際工程沒使用此IP核。

這些信息沒有順序,即哪些錯誤是先發生的不知道,影響定位。

總之不要看MESSAGE欄的內容。

最權威的信息,應該看LOG。如下圖

wKgZomV7teyAFc5pAAC3yC1Xmtg874.png

要看LOG這一欄。 這一欄中,有Synthesis和Implementation這兩部分內容。建議整個工程運行后,再看LOG中,第一個報錯的地方,解決第一個報錯的問題。

【問題1】使用VIVADO編譯時出現如下錯誤,我使用的是K7芯片。

wKgZomV7teyAP9NtAABrrhOg388902.png

答:仔細閱讀提示,該提示是說本軟件沒有K7芯片的license。您需要去官方下載一個K7芯片的LICENSE使用,該LICENSE是免費的。

LICENSE的申請方法,請參考:https://blog.csdn.net/wxh0000mm/article/details/84068162

注意,上面鏈接中講的是申請VIVADO LICENSE的方法,本問題是指K7芯片的LICENSE,要選擇時注意選正確。

【問題2】ILA報如下錯誤,大概意思是有一些信號沒有連接。

The debug port 'u_ila_0/probe4' has 1 unconnected channels (bits). This will cause errors during implementation

答:1. 該報錯是指ILA里有一些接口沒有連接。您要檢查一下例化時,是否全部的probe都已經連接了,尤其需要注意的是:里面的每個probe位寬都要正確,也就是probe的位寬和信號位寬要一樣。

2. 在message界面顯示的是之前殘留的信息,需要點一次reset synthesis run,重新RUN一遍,查看最新的提示信息。

【問題3】VIVAOD添加include文件報錯。

wKgaomV7teyADtOCAAFY2GTOG6c747.jpg

答:

一般include進來的文件,不是一個完整的module代碼。

該代碼是不能像其他代碼那樣,通過添加文件的方式加到本工程,這是不正確的。

正確的方式是,在VIVADO的設置界面(在最左邊的窗口,PROJECT MANAGER,點擊setting),然后按下圖設置。

wKgaomV7t5KAVHt-AAJlLNCJ1zo662.jpg

如果還報錯,注意要把該文件從工程中remove掉。

【問題4】不添加ILA,可以順利生成bit流文件。添加ILA后,就在布局布線這里報錯,這是什么原因呢?

答:使用ILA的時候,特別注意ILA的信號個數、信號位寬要與被測信號一致,否則會存在編譯不通過的風險

wKgZomV7t5GAX_KpAACYXVakEbw723.jpg

像這種錯誤提示,如果看不懂,則看關鍵詞和提示的信號。如上圖中的FSM_onehot_state_c[0]信號,從代碼上檢查該信號是否存在以下問題。

該信號是不是沒有被驅動(也就是沒被賦值);

該信號是不是被 優化(包括直接或者間接未被使用);

信號位寬不正確;

該信號與其他信號有哪些不同。

再不行,嘗試改改該信號信號,重新綜合看

【問題5】以下圖片出現?號問題是怎么回事?

wKgaomV7teyALHJGAAHMx_HWips835.jpg

答:出現問號,是表示該文件沒有添加到文件,請把該代碼添加到工程

【問題6】 出現以下警告 Synthesis(101 critical warnings),請問如何解決?

wKgZomV7teyAYOrnAAHFapjUFR0492.jpg

答:拉信號到調試工具引起的警告,MDY不使用此方法,所以沒遇到過。建議使用例化ILA的方法,我們已經形成了一套規范方法,有興趣可以看下面鏈接 :

VIVADO使用教程http://www.mdy-edu.com/wentijieda/20210409/1209.html?1617940294

請看 第六集:VIVADO教程06-MDY的ILA使用經驗

【問題7】 出現器件識別不到有如下可能原因,如下圖所示,請問是什么原因 ?

wKgaomV7te2AMiPaAAE3EU60Kl8011.jpg

答:

1.下載器有問題,可以換一個下載器看看。

2.硬件電路有問題,需要檢查。

3.電源未開。

4.下載器插反了。

5.FPGA芯片有問題,以上是可能原因。

【問題8】老師,什么情況下不用sdk?

答:只有純邏輯設計,沒有用到軟核和ARM的時候,可以不用sdk。

【問題9】下面是AXI總線的時序圖,但我仿真發現,在AWVALID之前,AWREADY就變高了,這是錯誤的嗎?

wKgaomV7te2AYLcLAAEW57wZKn0413.png

答:上面時序沒有說,在AWVALID之后,AWREADY才為1。上圖只是要說明的是:AWVALID和AWREADY同時為1,才表示一個有效的數據。AWREADY是可以任意時候為1的。 這也告訴我們,時序不要想當然地理解。

【問題10】Vivado-Synthesis: Verilog parameter overridden within instantiation fails with "ERROR:[Synth 8-3438]"

wKgZomV7teyACCmtAABMM8kNAw8520.jpg

答:這句提示是說:在例化的時候,參數TBYTE_SCR在設計文件里找不到。即原設計文件里沒有TBYTE_SCR,但例化的時候又使用了。

【問題11】布線里route design跑很久,不知是什么回事?

答:1.使用增量編譯的方法,會節省一些時間。具體做法可以百度;

2.換一臺性能更好的電腦。

【問題12】在布局布線時,提示DRC錯誤,錯誤如下圖。

wKgaomV7teyAHrsHAAESNfOn5DA944.png

答:看提示,一般抓關鍵詞。

注意圖中的關鍵詞:

bank 14,rst_n,LVCMOS25,si5388_scl,LVCMOS33。

在開發過程,要和這些詞關聯起來的步驟就是配置管腳,并且這些管腳與BANK14有關。

所以根據提示,可以去檢查配置管腳的頁面。

其實這里的問題是:

在同一個BANK的管腳,其電壓標準必須是相同的,例如都使用2.5V標準,或者都用3.3V標準。

LVCMOS25是2.5V的,LVCMOS33是3.3V的。

解決方法:

將rst_n配置成LVCMOS33,或者將si5388_scl配置成LVCMOS25

【問題13】老師使用ILA采樣的時候 說明波形也沒有 并且有這個警告是怎么回事啊-----Vivado INFO [Labtools 27-1964]

wKgZomV7teyAYsiYAAGsp2ofqRE423.jpg

答:

1、檢查是否添加了siganls,并且您能夠更早地看到它們,現在它們消失了?

2、可以關閉hw_ila1窗口,然后重新打開并檢查是否可以看到任何信號嗎?

如果不是,請單擊“ +”按鈕并手動添加信號。

同時點擊立即觸發并重新檢查

【問題14】老師這個管腳驅動強度是什么意思啊 選擇的時候應該怎么選?

wKgZomV7teyAW_aPAABxVwkiMpY332.jpg

答:

可以使用默認值,一直沒改過

【問題15】我的iP顯示鎖定,更新IP怎么是locked by user

wKgaomV7teyAQK-FAACyBXbcSbY632.jpg

答:

這是設計師對自己寫的代碼鎖定了,其他人無法修改。所以這個就是直接使用即可。如果使用有問題,請找原設計師。

【問題16】使用VIVADO的DEBUG工具時,提示“clock has stopped,unable to arm ILA”

wKgZomV7teyAMFd4AADXbFZTDhQ710.png

wKgaomV7te2AekvgAAAkA4QaRvg580.png

答:

該提示是說,時鐘信號停止了,也就是說上述做對中gtx_rx_clk沒有像時鐘那樣,高低高低變化。請檢查該信號,是不是拼寫錯誤,還是說該時鐘確實沒有。

【問題17】Unrouted nets:4 net(s) are unrouted .The problem bus(es) and/or net(s) are............

答:出現這個錯誤的原因一般是:

xdc文件中的約束不正確或者沖突,解決方法如下

1、根據實際使用情況重新進行約束

2、在將IOB屬性更改為TRUE

【問題18】

wKgZomV7te2AUNvTAACTZFUBENU017.png

在vivado軟件中燒寫比特流時,打開硬件目標找不到JTAG,open target連接不上,顯示信息為:INFO: [Labtools 27-2285] Connecting to hw_server url TCP:localhost:3121

答:1.確認已經鏈接了下載器?

2.確認開發板已經通電狀態?

3.有可能是下載器壞了,可以更換下載器看看?

4.有可能是板子硬件有問題 可以換板子試試看

5.有可能是Vivado的驅動沒有安裝好,只需要將驅動安裝上即可,建議在安裝的過程中,將vivado關閉以及Jtag連接器先拔掉,安裝驅動成功后在重新開始打開vivado進行連接;否則可能會在安裝后也不能正常連接。在點擊open target時,請將設備電源打開。

【問題19】

wKgZomV7te2AUGRuAABeZyhg0Nw657.png

答:這是因為我們在添加文件時候,忘記添加NGC文件到工程目錄中。下面就演示如何添加NGC文件到工程目錄中。NGC文件既要添加到Synthesize的目錄下,也要添加到Implement目錄下。

第一步:在Synthesize下添加NGC文件

如圖在SynSynthesize中選擇Process Properities

wKgZomV7te2AY7wzAABqaFxeQWs910.png

在Synthesis Options中可以看到-sd和-vlgincdir。在-sd一欄中添加工程中所有的ngc或的edn文件的路徑目錄。在-vlgincdir中添加define.v文件的目錄。

wKgaomV7te2AeAQBAAB8xMgcYs0558.png

第二步:在到Implement目錄下添加NGC文件

如圖在Implement Design中選擇Process Properities。

wKgaomV7te2AeAQBAAB8xMgcYs0558.png

在Translate Properties中的-sd一欄中添加添加工程中所有的ngc或的edn文件的路徑目錄否則translate的時候會出錯。

wKgaomV7te2AAv4nAACQZ7ifbHk366.png

【問題20】打開MDY的工程后,會提示如下錯誤信息。

wKgZomV7te2AeshfAAGm_6Jevpo885.png

答:1. 工程中的路徑,不要有中文的。

上面提示是IP核找不到。但這些IP核有可能是沒有用到的,所以這個錯誤可以不用理會。

【問題21】打開MDY的工程,IP核如下顯示,無法打開。

wKgZomV7te2AYRlhAABoqnvBecc882.png

答:MDY的工程,在分享的時候會減少大小,會刪除很多無用的文件。用戶下載后,需要重新生成IP核或升級IP核。

方法是在IP核右鍵,reset IP,然后重新“generate” IP。

另外,不同的VIVADO版本,也會造成此情況,要特別留意。VIVADO版本要保持一致。

審核編輯:湯梓紅
聲明:本文內容及配圖由入駐作者撰寫或者入駐合作網站授權轉載。文章觀點僅代表作者本人,不代表電子發燒友網立場。文章及其配圖僅供工程師學習之用,如有內容侵權或者其他違規問題,請聯系本站處理。 舉報投訴
  • 軟件
    +關注

    關注

    67

    文章

    4350

    瀏覽量

    85639
  • IP核
    +關注

    關注

    4

    文章

    317

    瀏覽量

    49043
  • Vivado
    +關注

    關注

    18

    文章

    790

    瀏覽量

    65107

原文標題:VIVADO軟件問題

文章出處:【微信號:Hack電子,微信公眾號:Hack電子】歡迎添加關注!文章轉載請注明出處。

收藏 人收藏

    評論

    相關推薦

    vivado軟件的安裝教程以及license

    vivado軟件的安裝教程以及license
    發表于 12-21 19:50

    Vivado SDK軟件

    請問有誰用過Xilinx公司的軟件Vivado,請問做軟件驅動設計的時候如何不使用它的SDK軟件而成功的轉用keil之類的軟件
    發表于 11-12 09:38

    請問支持Windows 8軟件Vivado 2013.2的有哪些?

    請告訴我Windows 8軟件Vivado 2013.2?
    發表于 11-05 08:38

    Vivado軟件菜單基礎知識的Xilinx PDF?

    我在Digilent論壇上看到有關于學習Vivado軟件菜單基礎知識的Xilinx PDF,我在哪里可以找到PDF?此外,當我安裝Vivado時,我安裝了所有內容,我是初學者,如果我只是安裝一個簡單的
    發表于 04-30 09:32

    Vivado使用誤區與進階

    Vivado使用誤區與進階》電子書匯集了賽靈思專家團隊在客戶支持時所碰見的諸多實際案例,以及相對應的解決方案;還有多年總結下來的設計技巧與代碼參數詳解。是您學習和掌握Vivado開發套件的一本不可多得的實戰指導資料。
    發表于 08-03 19:37 ?84次下載

    利用Vivado HLS加速運行慢的軟件

    大,我是否能夠利用Vivado HLS完成這項要求較高的運算呢? 我開始從軟件方面考慮這個轉換,我開始關注軟件界面。畢竟,HLS創建專用于處理硬件接口的硬件。幸好Vivado HLS支
    發表于 02-09 02:15 ?326次閱讀

    基于linux系統實現的vivado調用VCS仿真教程

    在linux系統上實現vivado調用VCS仿真教程 作用:vivado調用VCS仿真可以加快工程的仿真和調試,提高效率。 前期準備:確認安裝vivado軟件和VCS
    的頭像 發表于 07-05 03:30 ?1.1w次閱讀
    基于linux系統實現的<b class='flag-5'>vivado</b>調用VCS仿真教程

    Vivado-HLS為軟件提速

    本文內容介紹了基于用Vivado-HLS為軟件提速,供參考
    發表于 03-26 16:09 ?8次下載

    Vivado軟件仿真DDS核的過程中應該注意的問題

    本人需要利用Vivado軟件中的DDS核生成一個正弦信號。由于后期還要生成線性調頻信號,如果直接編寫代碼生成比特流文件下載到板子上進行驗證會使工作的效率大大下降,所有想利用Vivado軟件
    發表于 07-13 08:32 ?9528次閱讀

    軟件更新:Vivado 2019.1 現已開放下載

    軟件更新:Vivado 2019.1 現已開放下載
    的頭像 發表于 07-02 12:03 ?1.1w次閱讀

    VCS獨立仿真Vivado IP核的一些方法總結

    前年,發表了一篇文章《VCS獨立仿真Vivado IP核的一些方法總結》(鏈接在參考資料1),里面簡單講述了使用VCS仿真Vivado IP核時遇到的一些問題及解決方案,發表之后經過一年多操作上也有
    的頭像 發表于 03-22 10:31 ?3511次閱讀

    使用VCS仿真Vivado IP核時遇到的問題及解決方案

    前年,發表了一篇文章《VCS獨立仿真Vivado IP核的一些方法總結》(鏈接在參考資料1),里面簡單講述了使用VCS仿真Vivado IP核時遇到的一些問題及解決方案,發表之后經過一年多操作上也有些許改進,所以寫這篇文章補充下
    的頭像 發表于 08-29 14:41 ?1621次閱讀

    ?Vivado開發軟件下板驗證教程

    系統性的掌握技術開發以及相關要求,對個人就業以及職業發展都有著潛在的幫助,希望對大家有所幫助。本次帶來Vivado系列,使用Vivado開發軟件下板驗證教程。話不多說,上貨。
    的頭像 發表于 03-08 14:21 ?750次閱讀

    vivado仿真流程

    vivado開發軟件自帶了仿真工具,下面將介紹vivado的仿真流程,方便初學者進行仿真實驗。
    的頭像 發表于 07-18 09:06 ?2575次閱讀
    <b class='flag-5'>vivado</b>仿真流程

    vivado軟件和modelsim軟件的安裝方法

    本文詳細介紹了vivado軟件和modelsim軟件的安裝,以及vivado中配置modelsim仿真設置,每一步都加文字說明和圖片。
    的頭像 發表于 08-07 15:48 ?1724次閱讀
    <b class='flag-5'>vivado</b><b class='flag-5'>軟件</b>和modelsim<b class='flag-5'>軟件</b>的安裝方法
    亚洲欧美日韩精品久久_久久精品AⅤ无码中文_日本中文字幕有码在线播放_亚洲视频高清不卡在线观看
    <acronym id="s8ci2"><small id="s8ci2"></small></acronym>
    <rt id="s8ci2"></rt><rt id="s8ci2"><optgroup id="s8ci2"></optgroup></rt>
    <acronym id="s8ci2"></acronym>
    <acronym id="s8ci2"><center id="s8ci2"></center></acronym>