<acronym id="s8ci2"><small id="s8ci2"></small></acronym>
<rt id="s8ci2"></rt><rt id="s8ci2"><optgroup id="s8ci2"></optgroup></rt>
<acronym id="s8ci2"></acronym>
<acronym id="s8ci2"><center id="s8ci2"></center></acronym>
0
  • 聊天消息
  • 系統消息
  • 評論與回復
登錄后你可以
  • 下載海量資料
  • 學習在線課程
  • 觀看技術視頻
  • 寫文章/發帖/加入社區
創作中心

完善資料讓更多小伙伴認識你,還能領取20積分哦,立即完善>

3天內不再提示

AMD自適應計算加速平臺之GTYP收發器誤碼率測試IBERT實驗(6)

ALINX ? 來源:ALINX ? 2024-04-12 16:22 ? 次閱讀

實驗VIvado工程為“ibert_test”,目錄中還有一個“ibert_ex”,是生成的測試工程。

Vidado軟件為我們提供了強大的誤碼率測試器IBERT,不但可以測試誤碼率還能測試眼圖,給我們使用高速收發器帶來很大的便利,本實驗做個拋磚引玉,簡單介紹IBERT的使用。

1.1硬件介紹

使用IBERT測試誤碼率和眼圖必須有個收發環通的硬件,開發板上有2個SFP光纖接口,本實驗把2個光接口收發兩兩連接,形成2個收發環通鏈路。

1.2Vivado工程建立

1)新建一個工程名為“ibert_test”

2)在“IP Catalog”中搜索“gt”快速找到“Versal ACAPs Transceivers Wizard”,雙擊

6213d3b4-f8a5-11ee-a297-92fbcf53809c.png

3)“Component Name”改為”ibert”,并修改preset為“Aurora 64B/66B”

623491a8-f8a5-11ee-a297-92fbcf53809c.png

4)點擊Transceiver Configs Protocol 0,配置發送和接收參數,點擊OK

62468ea8-f8a5-11ee-a297-92fbcf53809c.png

62620192-f8a5-11ee-a297-92fbcf53809c.png

62761c68-f8a5-11ee-a297-92fbcf53809c.png

5)點擊Generate

62ab250c-f8a5-11ee-a297-92fbcf53809c.png

6)右鍵“Open IP Example Design...”,選擇example工程路徑

62bbdf82-f8a5-11ee-a297-92fbcf53809c.png

62cdf0d2-f8a5-11ee-a297-92fbcf53809c.png

7)添加buffer連接到apb3clk

62d76c66-f8a5-11ee-a297-92fbcf53809c.png

8)添加反向器連接到復位

6381648c-f8a5-11ee-a297-92fbcf53809c.png

9)其他一些信號配置為常數0

639b621a-f8a5-11ee-a297-92fbcf53809c.png

10)刪除輸出信號

63aef000-f8a5-11ee-a297-92fbcf53809c.png

11)配置sfp_disable為0

63c317d8-f8a5-11ee-a297-92fbcf53809c.png

12)將CIPS改成PL Subsystem

63e401e6-f8a5-11ee-a297-92fbcf53809c.png

13)約束引腳

63ededfa-f8a5-11ee-a297-92fbcf53809c.png

14)生成pdi文件

64044848-f8a5-11ee-a297-92fbcf53809c.png

1.3下載調試

1)插入光模塊,然后使用光纖將2個光口對接,連接好JTAG下載線,給開發板上電

6411fe8e-f8a5-11ee-a297-92fbcf53809c.png

2)使用JTAG下載BIT文件到開發板,可以看到速度接近10.3125Gbps。

643c26aa-f8a5-11ee-a297-92fbcf53809c.png

3)選擇IBERT,右鍵,選擇“Create Links”

64516cae-f8a5-11ee-a297-92fbcf53809c.png

參考原理圖,光纖連接到了Quad104的CH0和CH1,選擇Link 0為Quad_104 CH_0 TX和CH1 RX對應,Link 1為Quad_104 CH_1 TX和CH0 RX對應

6465299c-f8a5-11ee-a297-92fbcf53809c.png

4)修改配置,碼流選擇PRBS 31,Loopback配置成None

647d1ec6-f8a5-11ee-a297-92fbcf53809c.png

5)配置完,可以點擊BERT Reset,可以看到Errors都是0,重新開始測試。

648f7b0c-f8a5-11ee-a297-92fbcf53809c.png

6)選擇一個鏈路,右鍵“Create Scan...”

64a14990-f8a5-11ee-a297-92fbcf53809c.png

64b1ceaa-f8a5-11ee-a297-92fbcf53809c.png

7)默認配置出來的眼圖,注意:使用不同的軟件版本,測量眼圖可能會有差異。

64c47f96-f8a5-11ee-a297-92fbcf53809c.png



審核編輯:劉清

聲明:本文內容及配圖由入駐作者撰寫或者入駐合作網站授權轉載。文章觀點僅代表作者本人,不代表電子發燒友網立場。文章及其配圖僅供工程師學習之用,如有內容侵權或者其他違規問題,請聯系本站處理。 舉報投訴
  • 收發器
    +關注

    關注

    10

    文章

    2965

    瀏覽量

    104697
  • amd
    amd
    +關注

    關注

    25

    文章

    5174

    瀏覽量

    132614
  • SFP
    SFP
    +關注

    關注

    3

    文章

    119

    瀏覽量

    34971
  • JTAG
    +關注

    關注

    6

    文章

    382

    瀏覽量

    71129
  • 光模塊
    +關注

    關注

    71

    文章

    1126

    瀏覽量

    58073
  • 光纖接口
    +關注

    關注

    0

    文章

    19

    瀏覽量

    8861

原文標題:【ALINX 技術分享】AMD Versal AI Edge 自適應計算加速平臺之GTYP收發器誤碼率測試IBERT實驗(6)

文章出處:【微信號:ALINX,微信公眾號:ALINX】歡迎添加關注!文章轉載請注明出處。

收藏 人收藏

    評論

    相關推薦

    是德科技全新多通道比特誤碼率測試

    德科技公司(NYSE:KEYS)今天宣布推出一款基于 14 插槽 AXIe 主機的多通道 比特誤碼率測試儀解決方案,適用于多路測試。最新比特誤碼率
    發表于 01-19 11:23 ?1533次閱讀

    【ALINX 技術分享】AMD Versal AI Edge 自適應計算加速平臺之準備工作(1)

    AMD Versal AI Edge 自適應計算加速平臺之準備工作,包含軟件環境、硬件環境。
    的頭像 發表于 03-07 15:49 ?285次閱讀
    【ALINX 技術分享】<b class='flag-5'>AMD</b> Versal AI Edge <b class='flag-5'>自適應計算</b><b class='flag-5'>加速</b><b class='flag-5'>平臺</b>之準備工作(1)

    【ALINX 技術分享】AMD Versal AI Edge 自適應計算加速平臺之 Versal 介紹(2)

    【ALINX 技術分享】AMD Versal AI Edge 自適應計算加速平臺之 Versal 介紹,以及Versal 芯片開發流程的簡介。
    的頭像 發表于 03-07 16:03 ?284次閱讀
    【ALINX 技術分享】<b class='flag-5'>AMD</b> Versal AI Edge <b class='flag-5'>自適應計算</b><b class='flag-5'>加速</b><b class='flag-5'>平臺</b>之 Versal 介紹(2)

    #fpga 利用IBERT IP核實現GTX收發器硬件誤碼率測試實例

    fpga收發器
    明德揚科技
    發布于 :2023年09月05日 11:32:14

    利用IBERT核對GTX收發器板級測試

    一、概述 IBERT(集成誤碼率測試儀)是xilinx為7系列FPGA GTX收發器設計的,用于評估和監控GTX收發器。
    發表于 06-21 11:23

    誤碼率是怎么計算的?

    誤碼率是怎么計算
    發表于 11-02 07:51

    基于FPGA的誤碼率測試儀的設計與實現

    本文提出了一種使用FPGA 實現誤碼率測試的設計及實現方法。該設計可通過FPGA 內建的異步串行接口向主控計算機傳遞誤碼信息,也可以通過數碼管實時顯示一段時間內的
    發表于 06-26 17:32 ?55次下載

    IP集成式誤碼率測試器(IBERT)的主要性能和優勢介紹

    面向 UltraScale? 架構 GTY 收發器的可定制 LogiCORE? IP 集成式誤碼率測試器 (IBERT) 核用于評估和監控 v 收發
    的頭像 發表于 07-08 09:58 ?4702次閱讀

    BER誤碼率 影響誤碼率的因素

    A 誤碼率基礎 誤碼率(Bit error rate, BER)是用于評估傳輸數字數據的系統的關鍵參數。 適用于誤碼率的系統包括無線數據鏈路,以及光纖數據系統、以太網或任何通過噪聲、干擾和相位抖動
    發表于 03-21 10:15 ?1.5w次閱讀
    BER<b class='flag-5'>誤碼率</b> 影響<b class='flag-5'>誤碼率</b>的因素

    誤碼率是指什么_誤碼率是怎么表示_怎么計算

     誤碼的產生是由于在信號傳輸中,衰變改變了信號的電壓,致使信號在傳輸中遭到破壞,產生誤碼。噪音、交流電或閃電造成的脈沖、傳輸設備故障及其他因素都會導致誤碼 誤碼率(比如傳送的信號是1,
    的頭像 發表于 03-08 08:59 ?6.1w次閱讀
    <b class='flag-5'>誤碼率</b>是指什么_<b class='flag-5'>誤碼率</b>是怎么表示_怎么<b class='flag-5'>計算</b>

    關于利用IBERT核對GTX收發器板級測試的原理與過程詳解

    IBERT(集成誤碼率測試儀)是xilinx為7系列FPGA GTX收發器設計的,用于評估和監控GTX收發器。
    的頭像 發表于 05-02 22:10 ?5678次閱讀
    關于利用<b class='flag-5'>IBERT</b>核對GTX<b class='flag-5'>收發器</b>板級<b class='flag-5'>測試</b>的原理與過程詳解

    關于ZC706評估板的IBERT誤碼率測試和眼圖掃描詳細分析

    IBERT(Integrated Bit ErrorRatio Tester,集成誤比特率測試工具),是Xilinx提供用于調試FPGA高速串行接口比特誤碼率性能的工具,最常用在GT高速串行
    的頭像 發表于 04-27 16:10 ?7129次閱讀
    關于ZC706評估板的<b class='flag-5'>IBERT</b><b class='flag-5'>誤碼率</b><b class='flag-5'>測試</b>和眼圖掃描詳細分析

    利用IBERT IP核實現GTX收發器硬件誤碼率測試實例

    通過IBERT我們可以獲取誤碼率,觀察眼圖,調節串行收發器的參數,從而有助于判斷可能存在的問題,便于驗證硬件的穩定性和信號完整性。
    的頭像 發表于 08-14 10:14 ?1581次閱讀
    利用<b class='flag-5'>IBERT</b> IP核實現GTX<b class='flag-5'>收發器</b>硬件<b class='flag-5'>誤碼率</b><b class='flag-5'>測試</b>實例

    ZC706評估板IBERT誤碼率測試和眼圖掃描

    IBERT(Integrated Bit ErrorRatio Tester,集成誤比特率測試工具),是Xilinx提供用于調試FPGA高速串行接口比特誤碼率性能的工具,最常用在GT高速串行
    的頭像 發表于 06-21 11:29 ?1728次閱讀
    ZC706評估板<b class='flag-5'>IBERT</b><b class='flag-5'>誤碼率</b><b class='flag-5'>測試</b>和眼圖掃描

    Versal:首款自適應計算加速平臺(ACAP)

    電子發燒友網站提供《Versal:首款自適應計算加速平臺(ACAP).pdf》資料免費下載
    發表于 09-18 09:28 ?1次下載
    Versal:首款<b class='flag-5'>自適應計算</b><b class='flag-5'>加速</b><b class='flag-5'>平臺</b>(ACAP)
    亚洲欧美日韩精品久久_久久精品AⅤ无码中文_日本中文字幕有码在线播放_亚洲视频高清不卡在线观看
    <acronym id="s8ci2"><small id="s8ci2"></small></acronym>
    <rt id="s8ci2"></rt><rt id="s8ci2"><optgroup id="s8ci2"></optgroup></rt>
    <acronym id="s8ci2"></acronym>
    <acronym id="s8ci2"><center id="s8ci2"></center></acronym>