<acronym id="s8ci2"><small id="s8ci2"></small></acronym>
<rt id="s8ci2"></rt><rt id="s8ci2"><optgroup id="s8ci2"></optgroup></rt>
<acronym id="s8ci2"></acronym>
<acronym id="s8ci2"><center id="s8ci2"></center></acronym>
0
  • 聊天消息
  • 系統消息
  • 評論與回復
登錄后你可以
  • 下載海量資料
  • 學習在線課程
  • 觀看技術視頻
  • 寫文章/發帖/加入社區
創作中心

完善資料讓更多小伙伴認識你,還能領取20積分哦,立即完善>

3天內不再提示

ZC706評估板IBERT誤碼率測試和眼圖掃描

冬至子 ? 來源:FPGA探索者 ? 作者:FPGA探索者 ? 2023-06-21 11:29 ? 次閱讀

一、IBERT與GT收發器概述

1. IBERT

IBERT (Integrated Bit ErrorRatio Tester,集成誤比特率測試工具),是Xilinx提供用于調試FPGA高速串行接口比特誤碼率性能的工具,最常用在GT 高速串行收發器測試

(1)基于PRBS模塊的 誤碼率測試 ;

(2)測量 眼圖 ;

IBERT核心是為PMA評估和演示而設計,GT收發器的所有主要物理介質連接(PMA)功能都得到支持和可控,包括:TX預加重/后加重、TX差速擺動、RX均衡、決策反饋均衡器(DFE)、鎖相環(PLL)分頻設置等。

2. GT

GT(Gigabyte Transceiver,G 比特收發器 ),通常也稱 Serdes 、高速收發器。Xilinx的7系列FPGA根據不同的器件類型,集成了GTP、GTX、GTH以及GTZ四種串行高速收發器。按支持的最高線速率排序,GTP是最低的,用于A7系列;GTZ最高,用于少數V7系列;K7和V7中常見的是GTX和GTH。ZC706中包含16****個 GTX 。

GT的應用非常廣泛,高速ADCDAC使用的 JESD204B 、高速接口 SRIO (Serial RapidIO )、 Aurora 、 PCIE 、 千兆網 、XAUI****萬兆網等都是基于GT實現。在使用GT之前,首先需要進行IBERT測試,給出誤碼率、眼圖等信息,保證GT收發器工作正常。若IBERT測試不通過,則根據近端、自環和遠端的測試去排除PCB走線、阻抗、時鐘、復位、電源等原因。

二、IBERT配置

1. 在“IP Catalog”中找到IBERT

雙擊IP核進行配置。

圖片

2. 第一頁配置高速串行協議

第一頁協議選項中默認為Custom1,可以自行輸入線速率、時鐘等參數,其余協議選項是對應著固定的線速率和時鐘,比如tenGBASE-R對應10.3125G 通信速率的萬兆網通信 ,使用時鐘頻率 156.25MHz ,選擇使用QPLL鎖相環,選擇后整個Quad的4個GT共用一個QPLL(Quad PLL),否則每個Channel通道各自使用自己的CPLL(Channel PLL)。

圖片

ZC706中的BANK109~112四個Bank是高速收發器Bnak,每個Bnak中含有4個獨立的GT收發器和一個QPLL,組成一個Quad,每個GT稱為一個Channel。其中,Quad109和Quad110是FMC_HPD,Quad111支持Channel0是FMC_LPC,Channel1使用SMA接口輸出,Channel2連接光纖SFP+,**Channel3直接TXRX****連接形成自環,用于測試** GT ,Quad112用于PCIE。

在使用過程中,出于對時鐘的考慮:

Quad109的參考時鐘0來源于FMC_HPC板卡,參考時鐘1未連接(NC);

Quad110的參考時鐘0來源于FMC_HPC板卡,參考時鐘1來源于一個時鐘芯片SI5324,但是需要進行相應配置才能輸出(IIC配置寄存器);

Quad111的參考時鐘0來源于FMC_LPC板卡,參考時鐘1通過****SMA 接頭由外部輸入 ;

Quad112的參考時鐘0來源于PCIE設備,參考時鐘1未連接(NC);

綜上考慮,在ZC706沒有連接FMCPCIE設備情況下,只能使用Quad111的參考時鐘 1 ,通過外部****SMA 接入差分的參考時鐘。 Quad111中的Channel3恰好已經設計成自環,剛好選定Quad111進行IBERT測試。

重要!由于相鄰****Bnak 可以相互借用時鐘 ,所以,這里在使用Bnak111的參考時鐘1的前提下,也可以選擇Bnak110和Bnak112上的GT進行IBERT測試,但是不能使用Bnak109,因為Bank111的時鐘無法給Bank109使用,但是可以給Bnak110和Bank112使用。

圖片

在ZC706板上,如下圖所示,有一個一上電就會輸出的差分時鐘 USRCLK ,默認輸出頻率 156.25MHz ,恰好可以用來作為時鐘,并將其通過SMA接頭的USER_SMA_CLOCK輸出,外部使用SMA接頭射頻線將USRCLK和****USER_SMA_CLOCK 連接 ,即為Quad111引入了一組156.25MHz的差分時鐘。( 注意!兩根射頻線必須等長

圖片

標號910的兩對SMA接口使用等長的射頻線連接,絲印號P端連接****P 端, N端連接N****端。

圖片

3. 第二頁配置Quad和參考時鐘

根據2的說明,這里選擇QUAD_111,并將參考時鐘選擇Quad111的參考時鐘1(MGTREFCLK1),由于使用整個Quad的四個通道,并且使用QPLL,所以這里的Channel任選一個Channel0 ~ Channel3即可。

圖片

4. 第三頁配置時鐘來源

時鐘來源配置為Quad111的參考時鐘1。

圖片

三、示例工程

圖片

生成RTL圖如下:

圖片

四、時鐘配置

增加差分輸入時鐘USRCLK,首先輸入使用IBUFDS差分輸入轉單端得到user_clk信號,然后使用全局緩沖BUFG資源將user_clk綁定全局時鐘網絡,最后使用OBUFDS單端轉差分輸出。

FPGA從外部輸入時鐘時,必須使用全局時鐘輸入管腳輸入,必須經過全局時鐘緩沖IBUFG(單端時鐘)或IBUFGDS(差分時鐘) ,否則布線報錯, 常見的使用方式是IBUF或IBUFDS后加一個BUFG組合 。

BUFG,全局緩沖,輸出到達FPGA內部個邏輯單元的時鐘延遲和抖動最小。

wire user_clk;
IBUFDSIBUFDS_inst_user_clk(
    .O(user_clk),            // Buffer output
    .I(USRCLK_P_I),        // Diff_p bufferinput    
.IB(USRCLK_N_I)              //Diff_n buffer input 
);  

wireuser_clk_bufg;
BUFGBUFG_inst_user_clk (
       .O(user_clk_bufg),    // 1-bit output: Clock output
       .I(user_clk)
);

OBUFDSOBUFDS_inst_user_clock (
.O (USER_SMA_CLOCK_P_O),         // Diff_p output       
.OB(USER_SMA_CLOCK_N_O),     //Diff_n output
       .I (user_clk_bufg)                      //Buffer input
);

IBUFDS+BUFG+OBUFDS。

圖片

設置XDC時鐘約束和管腳約束:

create_clock -name usrclk -period 6.4 [get_ports USRCLK_P_I]
create_clock -name user_sma_clk -period 6.4 [get_portsUSER_SMA_CLOCK_P_O]
set_property PACKAGE_PIN AF14 [get_ports USRCLK_P_I]
set_property IOSTANDARD LVDS_25 [get_ports USRCLK_P_I]
set_property PACKAGE_PIN AD18 [get_ports USER_SMA_CLOCK_P_O]
set_property IOSTANDARD LVDS_25 [get_ports USER_SMA_CLOCK_P_O]

五、誤碼率及眼圖測試

編譯、布局布線并生成Bitstream,下載到ZC706。在Vivado下方出現“Serial I/O Links”,點擊Auto-detect links會自動檢測已經連通的鏈路,點擊Create Link可以觀察工程中配置的所有鏈路。

圖片

點擊Create Link,點擊“+”號選擇上方的鏈路,點擊4次選擇4個鏈路。

圖片

由于Quad111的Channel3是直接TX和RX直連構成自環,所以下載IBERT后在Link3上就已經實現連通,通信速率10.313Gbps,誤碼率10的-13次方,測試時可以點擊Reset復位重測,更改Links里面的配置時也需要****Reset 復位一下,否則誤碼率較高 。

圖片

配置4個通道均為近端PCS自環或者近端****PMA 自環 (Near-End),內部構成自環,4個鏈路均進行近端內部自環測試,兩個FPGA通信時可以配置遠端PCS自環或者遠端PMA自環測試鏈路(Far-End)。

圖片

更改上述配置后,先Reset復位,否則誤碼率較高。

圖片

創建眼圖的掃描。

圖片

圖片

可見在中心位置處眼圖張的比較開(藍色),信道質量較好,橫著看張開的范圍較小,主要原因是運行的線速率太高,如果使用1.25G的千兆網協議,則眼圖會更好。

從信號完整性的角度來看,眼圖中間的藍色區域越大,GTX所對應的PCB高速電路的信號完整性越好。

圖片

圖片

聲明:本文內容及配圖由入駐作者撰寫或者入駐合作網站授權轉載。文章觀點僅代表作者本人,不代表電子發燒友網立場。文章及其配圖僅供工程師學習之用,如有內容侵權或者其他違規問題,請聯系本站處理。 舉報投訴
  • 收發器
    +關注

    關注

    10

    文章

    3002

    瀏覽量

    104888
  • FPGA設計
    +關注

    關注

    9

    文章

    427

    瀏覽量

    26307
  • 寄存器
    +關注

    關注

    30

    文章

    5087

    瀏覽量

    117907
  • SMA
    SMA
    +關注

    關注

    4

    文章

    108

    瀏覽量

    24332
  • PRBS
    +關注

    關注

    0

    文章

    10

    瀏覽量

    2540
收藏 人收藏

    評論

    相關推薦

    是德科技全新多通道比特誤碼率測試

    德科技公司(NYSE:KEYS)今天宣布推出一款基于 14 插槽 AXIe 主機的多通道 比特誤碼率測試儀解決方案,適用于多路測試。最新比特誤碼率
    發表于 01-19 11:23 ?1537次閱讀

    Vivado文件找不到ZC706

    嗨,我正在使用Vivado 2018.2并擁有ZC706評估套件。啟動一個新項目我在文件中找不到ZC706??纯碈:\ Xilinx \ Vivado \ 2018.2 \ data
    發表于 01-03 11:17

    可以在具有多個ZC706評估的多臺計算機上使用一個FLEX許可證嗎

    你好我有一個帶有許可證表的新ZC706評估。我還有2個額外的ZC706評估,其中缺少許可證。
    發表于 01-04 11:10

    ZC706開發的SI5324配置方法

    ZC706開發上的SI5324需要通過I2C配置,官網找了一圈,只有VC709和KC705的例程,都是基于MICROBLAZE的,改到ZC706上問題也不大,準備動手這際,轉念一想,何不
    發表于 07-05 08:22

    為什么zc706的速度等級會有不同?

    大家好?Afaik,zc706的速度等級為-2 soc。(是的,我手中有zc706。我檢查它的速度等級-2 soc在上)但是當我在planAhead或xps上選擇
    發表于 09-04 08:22

    請問ZC706評估是否能夠承載SECMON功能?

    SECMON UG916第43頁強調,在硬件設計期間,COTS需要考慮SECMON問題。ZC706評估是否能夠承載SECMON功能?
    發表于 09-12 10:51

    ZC706評估套件怎么看到數據顯示?

    你好,我正在使用ZC706評估套件。我希望看到我的數據顯示。我知道HDMI可以做到這一點。但是,vivado不支持HDMI IP。你能否建議參考設計的其他顯示選項來做到這一點?問候,
    發表于 08-14 08:20

    利用IBERT核對GTX收發器測試

    。若信號傳輸質量不夠理想,可以通過在serial I/O link的參數設置進行調整,再重新掃描查看是否有改善。 為了測試GTX四個通道的誤碼率
    發表于 06-21 11:23

    IP集成式誤碼率測試器(IBERT)的主要性能和優勢介紹

    面向 UltraScale? 架構 GTY 收發器的可定制 LogiCORE? IP 集成式誤碼率測試器 (IBERT) 核用于評估和監控 v 收發器。該核包括采用 FPGA 邏輯實現
    的頭像 發表于 07-08 09:58 ?4725次閱讀

    BER誤碼率 影響誤碼率的因素

    A 誤碼率基礎 誤碼率(Bit error rate, BER)是用于評估傳輸數字數據的系統的關鍵參數。 適用于誤碼率的系統包括無線數據鏈路,以及光纖數據系統、以太網或任何通過噪聲、干
    發表于 03-21 10:15 ?1.5w次閱讀
    BER<b class='flag-5'>誤碼率</b> 影響<b class='flag-5'>誤碼率</b>的因素

    誤碼率是指什么_誤碼率是怎么表示_怎么計算

     誤碼的產生是由于在信號傳輸中,衰變改變了信號的電壓,致使信號在傳輸中遭到破壞,產生誤碼。噪音、交流電或閃電造成的脈沖、傳輸設備故障及其他因素都會導致誤碼 誤碼率(比如傳送的信號是1,
    的頭像 發表于 03-08 08:59 ?6.1w次閱讀
    <b class='flag-5'>誤碼率</b>是指什么_<b class='flag-5'>誤碼率</b>是怎么表示_怎么計算

    如何在ZC706中實現千兆網配置?

    (1)使用ZC706開發板測試PS端網口(Echo,lwIP協議棧); (2)配合操作PL端LED(直接驅動和使用消息隊列兩種方式); (3)PS端串口UART打印調試信息; (4)QSPI固化
    的頭像 發表于 04-02 16:49 ?3155次閱讀
    如何在<b class='flag-5'>ZC706</b>中實現千兆網配置?

    關于ZC706評估板的IBERT誤碼率測試和眼圖掃描詳細分析

    IBERT(Integrated Bit ErrorRatio Tester,集成誤比特率測試工具),是Xilinx提供用于調試FPGA高速串行接口比特誤碼率性能的工具,最常用在GT高速串行收發器
    的頭像 發表于 04-27 16:10 ?7247次閱讀
    關于<b class='flag-5'>ZC706</b><b class='flag-5'>評估</b>板的<b class='flag-5'>IBERT</b><b class='flag-5'>誤碼率</b><b class='flag-5'>測試</b>和眼圖<b class='flag-5'>掃描</b>詳細分析

    ADC-FMC插入器&Xilinx ZC706參考設計

    ADC-FMC插入器&Xilinx ZC706參考設計
    發表于 05-21 20:37 ?7次下載
    ADC-FMC插入器&Xilinx <b class='flag-5'>ZC706</b>參考設計

    ZC706千兆網測試

    ZC706中,MAC 控制器與 PHY 通過 RGMII(Reduced Gigabit Media Independent Interface)接口進行連接,實現千兆網。
    的頭像 發表于 06-21 10:03 ?1408次閱讀
    <b class='flag-5'>ZC706</b>千兆網<b class='flag-5'>測試</b>
    亚洲欧美日韩精品久久_久久精品AⅤ无码中文_日本中文字幕有码在线播放_亚洲视频高清不卡在线观看
    <acronym id="s8ci2"><small id="s8ci2"></small></acronym>
    <rt id="s8ci2"></rt><rt id="s8ci2"><optgroup id="s8ci2"></optgroup></rt>
    <acronym id="s8ci2"></acronym>
    <acronym id="s8ci2"><center id="s8ci2"></center></acronym>