<acronym id="s8ci2"><small id="s8ci2"></small></acronym>
<rt id="s8ci2"></rt><rt id="s8ci2"><optgroup id="s8ci2"></optgroup></rt>
<acronym id="s8ci2"></acronym>
<acronym id="s8ci2"><center id="s8ci2"></center></acronym>
0
  • 聊天消息
  • 系統消息
  • 評論與回復
登錄后你可以
  • 下載海量資料
  • 學習在線課程
  • 觀看技術視頻
  • 寫文章/發帖/加入社區
創作中心

完善資料讓更多小伙伴認識你,還能領取20積分哦,立即完善>

3天內不再提示

利用IBERT IP核實現GTX收發器硬件誤碼率測試實例

明德揚科技01 ? 來源:明德揚科技01 ? 作者:潘文明 ? 2022-08-14 10:14 ? 次閱讀

作者:潘文明

1.引言

Vivado中提供了1種IBERT工具用于對Xilinx FPGA芯片的高速串行收發器進行板級硬件測試。通過IBERT我們可以獲取誤碼率,觀察眼圖,調節串行收發器的參數,從而有助于判斷可能存在的問題,便于驗證硬件的穩定性和信號完整性。本測試實例教程使用IBERT工具對與SFP連接的GTX進行5 Gbps速率下的測試。從誤碼率和眼圖兩個角度來驗證電路板GTX部分工作的穩定性和可靠性。本測試實例基于Vivado 2019.1版本開發。

本測試實例是在購買的明德揚(MDY) K7核心板上進行的,這款核心板能夠方便二次開發利用。在FPGA 芯片的HP 端口上掛載了4片DDR3存儲芯片,每片DDR3 容量512 MB 字節,組成64bit 位的數據位寬。4個板對板連接器擴展出了276個IO,全部IO的電平可以通過更換核心板上的磁珠來修改,滿足用戶對+3.3V、+2.5V、+1.5V電平接口的需求,另外也擴展出了16對高速收發器GTX接口。供電范圍大,5-12V電壓均可。通過手冊了解到同一個BANK管腳到連接器接口之間走線做了等長和差分處理。該核心板的結構示意圖如圖1。

pYYBAGL3T2mAXbMFAAbzSi7b7hc409.png

圖1 該核心板結構示意圖

2.測試原理

IBERT中的BERT是Bit Error Ratio Test的縮寫,指比特出錯概率測試,簡而言之就是誤碼率測試。誤碼率(Bit Error Rate,BER)作為高速數據傳輸技術中的重要指標,它代表了數據傳輸的可靠性。對于數字通信系統,BER可以定義為出現錯誤碼的估計概率。這意味著任何通過系統傳輸的比特都可能被錯誤地接收。因此,發送的“1”接收到為“0”,或傳輸為“0”被接收到的為“1”。在實際測試中,誤碼率是通過系統傳輸一定比特的比特數和計算接收到的不正確的比特數來測量的。誤碼率是接收到的錯誤比特數與傳輸的總比特數之比。利用累積分布函數定義置信系數SLC(Statistical Level of Confidence):

poYBAGL3T4uAYNIkAAElnek8Em4294.png

式中,N為傳輸中發生錯誤的比特數,n為傳輸的總的比特數,p = 10-12,通過置信系數得到在傳輸n bit后誤碼率為:

poYBAGL3T7KAS3GgAADqIpIp5JM152.png

式中,BER 傳輸n bit發生錯誤概率,ln[.]是自然對數。當沒有檢測到錯誤(N = 0)時,式5.7中的第二項等于零,方程的求解得到了很大的簡化。

首先對明德揚Xilinx K7核心板光纖接口進行了誤碼率。Vivado中IBERT工具的測試原理是通過收發器由外部回環進行自收自發而實現。就是將同一組收發器的TX和RX進行短接,TX發送端通過發送某種特定序列的數據流,在RX接收端接收后,通過比對發送和接收的數據,從而得出接收端誤碼的統計值。將光模塊插入SFP屏蔽籠內,然后通過單根光纖將光模塊的TX和RX短接,便可以通過IBERT工具對GTX進行測試。

3.QUAD(GTX BANK)、CHANNEL、GTX間的關系

首先可以從圖2中看到XC7K325T中共有16個GTX,每4個GTX組成一個Bank,稱為Quad,即XC7K325T芯片共有4個Quad。

pYYBAGL3T8mAcUvxABUQPst6AZM216.png

圖2 Kintex-7 FPGA(XC7K325T)GTX結構圖

如圖3所示,具體看Quad布局圖,可以看到一個Quad包含4個GTX_channel(簡稱GTX或channel)和一個QPLL。

pYYBAGL3T9aASc5TABkBWkDAyNY986.png

圖3 Quad布局圖

所以Kintex-7 FPGA(XC7K325T)中quad、channel、GTX相互關系如圖4所示。1個Quad中有2個參考時鐘(REFCLK0,REFCLK1),根據板卡設計圖可知具體使用REFCLK0還是REFCLK1,參考時鐘頻率應根據核心板實際晶振頻率來選擇。

poYBAGL3T-SANeR4AAoUih_VDLM897.png

圖4 quad、channel、gtx相互關系

4.測試結果

通過新建IP核工程,為IBERT協議配置定義界面,IP核有一些預置的協議,如收發器線速率選擇,位寬選擇,收發器參考時鐘來源和頻率等。本設計SFP+來自Quad116,參考時鐘來自MGTREFCLK1_116。本設計將GTX收發器參考輸入時鐘作為其系統時鐘。之后例化,生成bit流文件,完成FPGA配置文件生成。按照測試原理,我們將SFP+的光纖模塊的TX和RX短接,如圖5所示。連接好硬件,將bit文件下載到硬件電路板。

poYBAGL3T_iAWOR7ACjw--npIAs170.png

圖5 硬件實物圖與連接

選擇需要查看眼圖的鏈路,眼圖參數可以使用默認參數,收發器眼圖測試結果如圖6所示。

poYBAGL3UAOAINrXAAJThuvjppo971.png

圖6 測試收發器眼圖

利用單根光纖將光模塊輸入輸出短接進行回環測試,使用IBERT IP核測試,通過Xilinx下載器將數據讀出?;丨h測試序列使用PRBS-31。每2小時記錄一次數據,總共測試48小時。測試結果如圖7所示,橫坐標為時間,連續運行48小時后,縱坐標為誤碼率,光纖通信誤碼率為2 × 10-15,速率穩定在5 Gbps,除去編碼開銷實際速率為4 Gbps,滿足一般的速率需求。

poYBAGL3UBKASSltACtYjCUkuPU670.png

圖7 誤碼率測試

5.總結

通過環回方式的誤碼率測試,可判斷出存在的問題以及測試出板卡實際支持的速率。IBERT可在運行過程中設置高速串行收發通道的各項參數,并提供了多種環回模式及多種測試激勵源,并可通過自動掃描測試,確定收發的最佳參數??梢詽M足硬件測試時對高速串行收發通道信號測試的大部分需求,在故障定位等場合均可使用。

審核編輯:湯梓紅

聲明:本文內容及配圖由入駐作者撰寫或者入駐合作網站授權轉載。文章觀點僅代表作者本人,不代表電子發燒友網立場。文章及其配圖僅供工程師學習之用,如有內容侵權或者其他違規問題,請聯系本站處理。 舉報投訴
  • FPGA
    +關注

    關注

    1604

    文章

    21337

    瀏覽量

    594004
  • 收發器
    +關注

    關注

    10

    文章

    3002

    瀏覽量

    104888
  • GTX
    GTX
    +關注

    關注

    0

    文章

    35

    瀏覽量

    10791
收藏 人收藏

    評論

    相關推薦

    是德科技全新多通道比特誤碼率測試

    德科技公司(NYSE:KEYS)今天宣布推出一款基于 14 插槽 AXIe 主機的多通道 比特誤碼率測試儀解決方案,適用于多路測試。最新比特誤碼率
    發表于 01-19 11:23 ?1537次閱讀

    #fpga 利用IBERT IP核實現GTX收發器硬件誤碼率測試實例

    fpga收發器
    明德揚科技
    發布于 :2023年09月05日 11:32:14

    利用 IBERT 進行 GTX 信號眼圖測試 精選資料分享

    利用 IBERT 進行 GTX 信號眼圖測試8.5.4.1 概述Vivado中提供了1種IBERT工具用于對Xilinx FPGA芯片的高速
    發表于 07-20 07:28

    利用IBERT核對GTX收發器板級測試

    一、概述 IBERT(集成誤碼率測試儀)是xilinx為7系列FPGA GTX收發器設計的,用于評估和監控
    發表于 06-21 11:23

    基于FPGA的誤碼率測試儀的設計與實現

    本文提出了一種使用FPGA 實現誤碼率測試的設計及實現方法。該設計可通過FPGA 內建的異步串行接口向主控計算機傳遞誤碼信息,也可以通過數碼
    發表于 06-26 17:32 ?55次下載

    IP集成式誤碼率測試器(IBERT)的主要性能和優勢介紹

    面向 UltraScale? 架構 GTY 收發器的可定制 LogiCORE? IP 集成式誤碼率測試器 (IBERT) 核用于評估和監控
    的頭像 發表于 07-08 09:58 ?4725次閱讀

    BER誤碼率 影響誤碼率的因素

    A 誤碼率基礎 誤碼率(Bit error rate, BER)是用于評估傳輸數字數據的系統的關鍵參數。 適用于誤碼率的系統包括無線數據鏈路,以及光纖數據系統、以太網或任何通過噪聲、干擾和相位抖動
    發表于 03-21 10:15 ?1.5w次閱讀
    BER<b class='flag-5'>誤碼率</b> 影響<b class='flag-5'>誤碼率</b>的因素

    誤碼率是指什么_誤碼率是怎么表示_怎么計算

     誤碼的產生是由于在信號傳輸中,衰變改變了信號的電壓,致使信號在傳輸中遭到破壞,產生誤碼。噪音、交流電或閃電造成的脈沖、傳輸設備故障及其他因素都會導致誤碼 誤碼率(比如傳送的信號是1,
    的頭像 發表于 03-08 08:59 ?6.1w次閱讀
    <b class='flag-5'>誤碼率</b>是指什么_<b class='flag-5'>誤碼率</b>是怎么表示_怎么計算

    用于Kintex-7 FPGA GTX的Chipscope Pro集成誤碼率測試資料說明

    Chipscope?Pro ibert Core for Kintex?-7 FPGA GTX收發器是可定制的,設計用于評估和監控Kintex-7 FPGA GTX
    發表于 02-26 11:02 ?6次下載
    用于Kintex-7 FPGA <b class='flag-5'>GTX</b>的Chipscope Pro集成<b class='flag-5'>誤碼率</b><b class='flag-5'>測試</b>資料說明

    信道編碼中的誤碼率曲線與編碼增益及編碼設計的誤碼率分析

    本文檔的主要內容詳細介紹的是信道編碼中的誤碼率曲線與編碼增益及編碼設計的誤碼率分析
    發表于 06-03 08:00 ?14次下載
    信道編碼中的<b class='flag-5'>誤碼率</b>曲線與編碼增益及編碼設計的<b class='flag-5'>誤碼率</b>分析

    關于利用IBERT核對GTX收發器板級測試的原理與過程詳解

    IBERT(集成誤碼率測試儀)是xilinx為7系列FPGA GTX收發器設計的,用于評估和監控GTX
    的頭像 發表于 05-02 22:10 ?5756次閱讀
    關于<b class='flag-5'>利用</b><b class='flag-5'>IBERT</b>核對<b class='flag-5'>GTX</b><b class='flag-5'>收發器</b>板級<b class='flag-5'>測試</b>的原理與過程詳解

    關于ZC706評估板的IBERT誤碼率測試和眼圖掃描詳細分析

    IBERT(Integrated Bit ErrorRatio Tester,集成誤比特率測試工具),是Xilinx提供用于調試FPGA高速串行接口比特誤碼率性能的工具,最常用在GT高速串行
    的頭像 發表于 04-27 16:10 ?7247次閱讀
    關于ZC706評估板的<b class='flag-5'>IBERT</b><b class='flag-5'>誤碼率</b><b class='flag-5'>測試</b>和眼圖掃描詳細分析

    基于微機系統實現誤碼率測試儀的測試方案

    當需要對某個信道進行誤碼率測試時,在通信的兩端要同時將數據終端的收發電纜拔下再連接到誤碼儀上,其弊端是顯而易見的:一是操作不方便,從開始測試
    的頭像 發表于 05-20 11:21 ?2933次閱讀
    基于微機系統<b class='flag-5'>實現</b><b class='flag-5'>誤碼率</b><b class='flag-5'>測試</b>儀的<b class='flag-5'>測試</b>方案

    ZC706評估板IBERT誤碼率測試和眼圖掃描

    IBERT(Integrated Bit ErrorRatio Tester,集成誤比特率測試工具),是Xilinx提供用于調試FPGA高速串行接口比特誤碼率性能的工具,最常用在GT高速串行
    的頭像 發表于 06-21 11:29 ?1921次閱讀
    ZC706評估板<b class='flag-5'>IBERT</b><b class='flag-5'>誤碼率</b><b class='flag-5'>測試</b>和眼圖掃描

    基于IBERTGTX數據傳輸測試

    的高速串行收發器GTX)通信情況進行板上測試。利用IP核可以得到 GTX通信
    的頭像 發表于 08-31 11:45 ?1346次閱讀
    基于<b class='flag-5'>IBERT</b>的<b class='flag-5'>GTX</b>數據傳輸<b class='flag-5'>測試</b>
    亚洲欧美日韩精品久久_久久精品AⅤ无码中文_日本中文字幕有码在线播放_亚洲视频高清不卡在线观看
    <acronym id="s8ci2"><small id="s8ci2"></small></acronym>
    <rt id="s8ci2"></rt><rt id="s8ci2"><optgroup id="s8ci2"></optgroup></rt>
    <acronym id="s8ci2"></acronym>
    <acronym id="s8ci2"><center id="s8ci2"></center></acronym>