<acronym id="s8ci2"><small id="s8ci2"></small></acronym>
<rt id="s8ci2"></rt><rt id="s8ci2"><optgroup id="s8ci2"></optgroup></rt>
<acronym id="s8ci2"></acronym>
<acronym id="s8ci2"><center id="s8ci2"></center></acronym>
0
  • 聊天消息
  • 系統消息
  • 評論與回復
登錄后你可以
  • 下載海量資料
  • 學習在線課程
  • 觀看技術視頻
  • 寫文章/發帖/加入社區
創作中心

完善資料讓更多小伙伴認識你,還能領取20積分哦,立即完善>

3天內不再提示

芯片新戰場,EDA如何擁抱新挑戰?

思爾芯S2C ? 2024-03-23 08:22 ? 次閱讀

芯片科技發展的核心關鍵和技術底座。當下RISC-V、Chiplet、AI、汽車電子等成為該行業的高頻詞。這兩年的半導體行業,皆圍繞著這幾個技術應用快速發展,也間接地加劇了對EDA電子設計自動化)工具的需求。面對這些技術進步和市場需求變化,在芯片新戰場上,堪稱“芯片之母”的EDA又該如何擁抱這些新挑戰?

芯片新戰場,挑戰重重
說起來RISC-V和Chiplet早已存在一段時間了,只是最近幾年才變得火熱。
RISC-V架構確實具備眾多優勢,如免費開源、簡潔的指令集(基礎指令集只有40多條),高度模塊化的設計能力,以及允許針對不同類型的芯片進行指令集的組合……但也正因為如此,一定程度上也阻礙了其發展。由于所有廠商都可以自由使用、修改或增加指令集,因此每家廠商設計的芯片可能都不相同。這不僅增加了設計的復雜性,也使得驗證變得更加困難。為應對這些挑戰,EDA工具需要提供更高級的建模、模擬和驗證功能,特別是在確保定制化的RISC-V核心滿足設計規范、性能要求以及處理器性能、功耗和安全性方面的嚴格驗證。
Chiplet技術,作為當前芯片設計領域的新風口,同樣給EDA行業帶來了一系列挑戰。這種技術是使用小型模塊化的“Chiplet”來組成更大、更復雜的系統級芯片(SoC)。同時也代表著異構集成的芯片技術。在摩爾定律放緩的背景下,許多業界專家視其為中國半導體企業的新機遇。然而,清華大學教授魏少軍指出,Chiplet技術更多是作為先進制造工藝的補充,而非替代品,其核心在于實現成本可控的異質集成。這項技術主要應用于計算邏輯與DRAM集成、手機領域以節省空間,以及汽車、工業控制物聯網等領域。
魏少軍還提到,Chiplet技術的出現可能促成一種新的商業模式:利用標準化的芯粒構建專用芯片。這是為什么一些國際大公司正在努力制定Chiplet標準的原因,通過這些標準,他們可以將自己的芯片作為標準產品,集成到各種終端應用中,從而擴大市場份額。
這種技術所帶來的新挑戰,如異構集成系統中接口和標準的統一性,要求工程師在異質芯片的性能和靈活性之間尋找平衡。同時,這也意味著需要新的EDA工具鏈、上下游生態系統的整合,以及適應新的商業模式。
此外,隨著Sora的發布,AI的熱潮再度掀起。而算力,是AI時代最確定的賽道。Open AI的創始人奧特曼在達沃斯論壇上表示:“對大規模AI準備的算力基礎設施還不夠?!?/strong>大算力芯片需要處理大量的數據和復雜的運算,這要求EDA必須支持高級的設計和仿真功能。工具必須能夠有效處理高性能計算需求,同時保證設計的準確性和可靠性。
RISC-V是架構,Chiplet、AI是技術,這些都不是獨立發展的,最終需要落地到產品上。就比如汽車是以上這些最重要的一個應用終端,落地靠的是各種汽車電子。其中,RISC-V 可以為 AI 應用提供定制化的處理器平臺,也允許汽車制造商和供應商設計滿足特定需求的處理器,例如優化能效或計算性能。同時,通過組合不同的 Chiplet來達到所需的性能和功能。而AI 算法可以在這些專門設計的處理器上運行,以處理汽車傳感器數據、做出決策和提供先進的駕駛輔助功能。這就很考驗如今的EDA,不僅僅支持單一芯片的設計,還要能夠支持更廣泛的系統級設計。這意味著需要能夠處理來自不同來源和技術的芯片和組件的集成。
在這個充滿新技術和應用挑戰的時代,我們面對包括系統級設計支持、高級建模/模擬和驗證功能、系統規范性測試、統一開發環境,以及處理大量數據和復雜運算的能力等等在內的眾多技術挑戰。鑒于這些技術日新月異,EDA如何通過創新理念、工具、設計方法和策略來適應這些變化?又如何確保芯片設計正確,以及確保設計正確芯片?這里的“正確”不僅在于芯片功能方面,更在于設計出真正需要的、有市場價值的芯片。EDA公司的精準芯策略

目前,針對上述挑戰很多頭部EDA公司正處在探索、嘗試和發展的階段。以國內的思爾芯為例,該公司正積極在新應用和新技術領域進行布局,如RISC-V、Chiplet、AI、GPU、高性能計算(HPC)和汽車電子等,并給出了針對性的解決方案。
思爾芯的創始人、董事長兼CEO林俊雄指出:“面對芯片設計的新挑戰,我們圍繞‘精準芯策略’(Precision Chip Strategy, PCS),采用異構驗證方法,以及并行驅動和左移周期方法,旨在確保芯片設計正確(Design the Chip Right),也確保設計正確芯片(Design the Right Chip)?!?/strong>
林俊雄所提到的“確保芯片設計正確”“確保設計正確芯片”這兩個概念,雖然聽起來相似,但實際上涵蓋了芯片設計過程中的兩個非常重要且不同的方面。
確保芯片設計正確-關注的是在實現設計中,如何以最高效、最準確的方式來驗證這個設計。這包括通過合適的設計方法學,在不同的設計階段選用高效的工具,進行徹底的仿真和驗證。這一過程強調的是“正確地做事”,即在技術和操作層面上精確無誤地實現設計目標。
確保設計正確芯片-關注的是確保設計團隊設計出符合市場需求和應用場景需求的芯片。這涉及對市場趨勢的理解、對未來技術發展的預測以及對客戶需求的深刻洞察。面對多變的市場,要有新的設計方法與工具去高效且精準地實現。這一過程強調的是“做正確的事”,即設計出真正需要的、有市場價值的芯片。
691c8c0a-e8ab-11ee-9118-92fbcf53809c.jpg 芯片設計一直以來都在強調設計的準確性,一旦芯片流片失敗,不僅導致高額的成本損失,還可能使企業錯過重要的市場窗口。這一點無論是過去還是技術日新月異的現在,都依然如此。這就是為什么EDA廠商始終推進和改進設計方法,以確保芯片設計的正確。觀察整個芯片開發流程中,每個階段的設計和驗證需求是各不相同的。為了確保每一步都設計準確,就需要充分的仿真。但是傳統的軟件仿真方法一旦遇到設計規模變大,性能就會大大降低。為此,思爾芯通過異構驗證方法,融合了多種先進仿真與驗證技術,針對不同階段采用相應的設計與驗證策略。
思爾芯異構驗證方法包括架構設計(芯神匠)、軟件仿真(芯神馳)、硬件仿真(芯神鼎)和原型驗證(芯神瞳),覆蓋了從IP開發到系統驗證的全過程。此外,通過利用數字電路調試軟件(芯神覺)以及豐富的外置應用庫/降速橋/VIP,思爾芯構建了一個全面的設計、驗證和調試環境。這個環境不僅促進了跨團隊的高效協作,也確保了設計的每個環節都能達到預定的準確性,從而在短的時間內高效實現了“確保芯片設計正確”的目標。

其次,在傳統的工作流程中,軟件開發、系統規范性測試、各類認證、客戶演示等都要在流片回來上板后才能進行。這是一個漫長的等待過程,由于現在的技術日新月異,很多設計是到樣片上板測試后才發現早期的規格或架構錯誤,或是并不符合市場需求。此時,如何確保設計正確芯片?現在思爾芯通過并行驅動,左移周期方法,在芯片設計的初始階段,就實現并行驅動的工作流程。這意味著在設計的一開始,并在每一個階段,利用工具高效且準確進行設計。
先是使用思爾芯的芯神匠架構設計軟件(Genesis Architect),設計團隊可以在設計的早期階段進行有效的規劃和架構設計。這不僅提高了設計的精準性,也加快了后續的開發流程。之后,工程師可以通過芯神瞳原型驗證(Prodigy)與芯神匠架構軟件(Genesis Architect)的協同建模,將RTL代碼映射進原型驗證中,使得設計模型和最終芯片相一致。透過架構設計與原型驗證的模型,它的運行速度可接近最終芯片,因此可以進行提前軟件開發,客戶演示等,亦可提早進行各種認證,例如汽車電子的安全性認證等。這種方法大大縮短了開發時間,同時實現了設計和驗證過程的時間提前,即“左移”,從而又快又好地實現“確保設計正確芯片”的目標。 692fe5c0-e8ab-11ee-9118-92fbcf53809c.jpg通過這些設計方法和工具,思爾芯不僅加速了新興趨勢下復雜芯片的設計過程,還確保設計正確芯片,確保芯片設計正確,幫助客戶在競爭激烈的芯片市場中獲得優勢。
林俊雄還強調,思爾芯率先在產品中使用了AI技術,小到從分割(partitioning)算法的資源預估,大到架構層級優化(architecture-level optimization)工具的設計空間探索,都有很重要的AI應用。
思爾芯是國內數字前端EDA領域的行業龍頭,也是業內最早開發原型驗證工具的企業。在思爾芯20周年的閉門論壇上,林俊雄介紹:“2004年在上海成立至今,這20年間,我們一直專注于客戶,貼近客戶并始終堅持以客戶需求為導向的原則。讓客戶能夠站在雙方幾十年的知識積累和客戶經驗上,客戶可以在很短時間內完成一個貼近自己具體應用需求的SoC重建,降低風險并加速軟件開發進程,提前實現系統的整合?!?br />截至目前,思爾芯的客戶數量已超過600家,包括英特爾、三星、索尼、黑芝麻、開芯院、芯動科技等。其產品已廣泛應用于物聯網、云計算、5G 通信、智慧醫療、汽車電子等終端領域。寫在最后

在當今這個以RISC-V、Chiplet、AI和汽車電子為代表的新技術時代中,如何確保設計正確芯片以及確保芯片設計正確成為了各界的核心關注點。盡管芯片設計的正確性極為關鍵,但同時幫助芯片公司符合市場需求并把握市場窗口同樣重要。這正突顯了思爾芯“精準芯策略”在該方面的重要性。
在這個充滿挑戰的芯片設計新戰場上, EDA的角色不僅僅是適應新興技術的發展,更重要的是積極地擁抱和引領這些挑戰。為了應對不斷變化的市場和技術需求,EDA工具必須持續進行創新和演進,以滿足越來越復雜的設計要求。
在這方面,思爾芯憑借其20年的技術積累和完善的數字前端EDA解決方案,已經展現出了其卓越的能力。通過實施“精準芯策略”、提供本地化支持和定制服務,思爾芯不僅加速了客戶產品的上市時間,還成功開辟了新的市場空間。這一切,都是思爾芯對于不斷變化的技術和市場環境的積極響應和前瞻性布局的結果。

轉載自:半導體行業觀察公眾號

聲明:本文內容及配圖由入駐作者撰寫或者入駐合作網站授權轉載。文章觀點僅代表作者本人,不代表電子發燒友網立場。文章及其配圖僅供工程師學習之用,如有內容侵權或者其他違規問題,請聯系本站處理。 舉報投訴
  • 芯片
    +關注

    關注

    447

    文章

    47804

    瀏覽量

    409172
  • eda
    eda
    +關注

    關注

    71

    文章

    2540

    瀏覽量

    170872
  • RISC-V
    +關注

    關注

    41

    文章

    1904

    瀏覽量

    45047
收藏 人收藏

    評論

    相關推薦

    國產EDA如何?EDA設計的重要性

    EDA,是指電子設計自勱化( Electronic Design Automation)用于芯片設計時的重要工具,設計時工程師會用程式碼規劃芯片功能,再透過EDA 工具讓程式碼轉換成實
    發表于 02-27 13:54 ?368次閱讀
    國產<b class='flag-5'>EDA</b>如何?<b class='flag-5'>EDA</b>設計的重要性

    芯片設計及使用的EDA工具介紹

    機遇總是與挑戰并存,目前國內在高端EDA工具研發方面,面臨著如Synopsys、Cadence和Mentor等國際EDA供應商的巨大挑戰,即使是作為本土最大的
    發表于 01-18 15:19 ?419次閱讀
    <b class='flag-5'>芯片</b>設計及使用的<b class='flag-5'>EDA</b>工具介紹

    思爾芯助力中國EDA創新,精英挑戰賽評選揭曉

    隨著本屆集成電路EDA設計精英挑戰賽完美收官,不僅見證了全國范圍內出色團隊在EDA領域的卓越表現,并且印證了國產EDA技術強大的發展勢頭。本場比賽并非單純的技術較量,而是我國
    的頭像 發表于 12-27 10:14 ?233次閱讀

    第五屆EDA挑戰賽賽果公布!思爾芯“戰隊”成績斐然

    12月23-24日,“2023第五屆集成電路EDA設計精英挑戰賽”總決賽頒獎典禮在南京順利舉行,大賽公布了最終獲獎。思爾芯作為核心命題企業之一,不僅出題,還通過交流和指導,助力參賽隊伍展現了他們
    的頭像 發表于 12-27 08:23 ?369次閱讀
    第五屆<b class='flag-5'>EDA</b><b class='flag-5'>挑戰</b>賽賽果公布!思爾芯“戰隊”成績斐然

    中國芯片消費量占全球50%,Synopsys.ai全棧式引領EDA+AI芯片設計,賦能汽車產業騰飛

    分享了他對于SysMoore時代下,芯片開發者面臨的五大挑戰。在挑戰當前,新思科技已經率先整合了EDA和AI,并通過Synopsys.ai全棧式解決方案來引領汽車、數據中心等市場的
    的頭像 發表于 09-18 17:16 ?2017次閱讀
    中國<b class='flag-5'>芯片</b>消費量占全球50%,Synopsys.ai全棧式引領<b class='flag-5'>EDA</b>+AI<b class='flag-5'>芯片</b>設計,賦能汽車產業騰飛

    思爾芯全面的數字EDA解決方案賦能芯片設計

    作為芯片之母,EDA芯片設計的關鍵工具,直接左右芯片性能、質量、生產效率及成本。
    發表于 08-31 15:35 ?381次閱讀

    思爾芯全面的數字EDA解決方案亮相IDAS,賦能芯片設計

    作為芯片之母,EDA芯片設計的關鍵工具,直接左右芯片性能、質量、生產效率及成本。隨著全球芯片市場的動蕩和變革,強韌、高效和創新的
    的頭像 發表于 08-31 08:25 ?582次閱讀
    思爾芯全面的數字<b class='flag-5'>EDA</b>解決方案亮相IDAS,賦能<b class='flag-5'>芯片</b>設計

    如今的EDA是否可以滿足量子芯片的設計?

    材料、溫度和結構規范提出挑戰,不少量子計算芯片公司其實都是在摸黑前行。畢竟30個量子位就要求同時進行十億次左右的運算了,遠超當下的各種IC芯片。 ? 量子計算芯片面臨的設計
    的頭像 發表于 08-14 09:32 ?1411次閱讀

    如何利用AI解決射頻芯片EDA的全球挑戰?

    EDA作為集成電路領域的上游基礎工具,對于芯片設計產業的發展的重要價值與貢獻毋容置疑。
    發表于 07-06 10:00 ?883次閱讀
    如何利用AI解決射頻<b class='flag-5'>芯片</b><b class='flag-5'>EDA</b>的全球<b class='flag-5'>挑戰</b>?

    明天開課|擁抱開源,擁抱OpenChain

    原文標題:明天開課|擁抱開源,擁抱OpenChain 文章出處:【微信公眾號:新思科技】歡迎添加關注!文章轉載請注明出處。
    的頭像 發表于 06-08 20:05 ?304次閱讀
    明天開課|<b class='flag-5'>擁抱</b>開源,<b class='flag-5'>擁抱</b>OpenChain

    淺談芯片設計最大的挑戰和機遇

    芯片以及異構3D-IC系統既是目前最大的機遇,也是面臨的最大挑戰。中國公司也是一個巨大的挑戰,尤其在EDA領域。他們那有很多初創公司,我們向中國銷售產品也變得具有
    發表于 06-08 12:38 ?437次閱讀

    一文詳解EDA芯片設計流程

    整個實現階段,可以概括成玩EDA 工具及基于EDA 工具的方法學,EDA 工具無疑是實現階段的主導,一顆芯片做得好不好,在實現階段之前基本取決于工程師的能力強不強,而在實現階段之后基本
    發表于 06-07 11:43 ?1840次閱讀
    一文詳解<b class='flag-5'>EDA</b><b class='flag-5'>芯片</b>設計流程

    本周五|擁抱開源,擁抱OpenChain

    原文標題:本周五|擁抱開源,擁抱OpenChain 文章出處:【微信公眾號:新思科技】歡迎添加關注!文章轉載請注明出處。
    的頭像 發表于 06-07 01:50 ?225次閱讀
    本周五|<b class='flag-5'>擁抱</b>開源,<b class='flag-5'>擁抱</b>OpenChain

    下周五|擁抱開源,擁抱OpenChain

    原文標題:下周五|擁抱開源,擁抱OpenChain 文章出處:【微信公眾號:新思科技】歡迎添加關注!文章轉載請注明出處。
    的頭像 發表于 06-05 02:35 ?236次閱讀
    下周五|<b class='flag-5'>擁抱</b>開源,<b class='flag-5'>擁抱</b>OpenChain

    擁抱開源,擁抱OpenChain

    原文標題:擁抱開源,擁抱OpenChain 文章出處:【微信公眾號:新思科技】歡迎添加關注!文章轉載請注明出處。
    的頭像 發表于 06-03 08:35 ?266次閱讀
    <b class='flag-5'>擁抱</b>開源,<b class='flag-5'>擁抱</b>OpenChain
    亚洲欧美日韩精品久久_久久精品AⅤ无码中文_日本中文字幕有码在线播放_亚洲视频高清不卡在线观看
    <acronym id="s8ci2"><small id="s8ci2"></small></acronym>
    <rt id="s8ci2"></rt><rt id="s8ci2"><optgroup id="s8ci2"></optgroup></rt>
    <acronym id="s8ci2"></acronym>
    <acronym id="s8ci2"><center id="s8ci2"></center></acronym>