<acronym id="s8ci2"><small id="s8ci2"></small></acronym>
<rt id="s8ci2"></rt><rt id="s8ci2"><optgroup id="s8ci2"></optgroup></rt>
<acronym id="s8ci2"></acronym>
<acronym id="s8ci2"><center id="s8ci2"></center></acronym>
0
  • 聊天消息
  • 系統消息
  • 評論與回復
登錄后你可以
  • 下載海量資料
  • 學習在線課程
  • 觀看技術視頻
  • 寫文章/發帖/加入社區
會員中心
創作中心

完善資料讓更多小伙伴認識你,還能領取20積分哦,立即完善>

3天內不再提示

Chiplet技術的出現帶來了芯片設計的三大新趨勢

ruikundianzi ? 來源:SiP與先進封裝技術 ? 2024-03-18 13:41 ? 次閱讀

引 子

1958年9月12日,溫和的巨人杰克?基爾比(Jack Kilby)發明了集成電路,當時沒有人知道,這項發明會給人類世界帶來如此大的改變。

42年后,基爾比因為發明集成電路獲得了2000年諾貝爾物理學獎,“為現代信息技術奠定了基礎”是諾獎給予基爾比的中肯評價。

科學技術的進步往往是由一連串夢想而推動的,集成電路自然也不例外。

基爾比這位身高兩米,性格溫和穩重的TI工程師的夢想就是:“用一種半導體材料來制作電路所需的所有器件”。集成電路發明7年后,Intel創始人戈登?摩爾提出了他的預言式夢想:“集成電路上的器件數量每隔十八個月將翻一番”,這就是我們今天所熟知的摩爾定律。

最終,他們都實現了自己的夢想,推動了科技的巨大進步。兩個偉大的夢想疊加在一起,也造就了今天的半導體產業。

“所有的器件都可以在一個硅片上集成,器件數量將以指數方式增長”,這就是我對兩個偉大的夢想的總結。

六十多年后的今天,整個集成電路產業的發展依然以它們為基石!

從同構到異構

事物的發展有其出現、發展、成熟、終結的過程,技術的發展也是如此。

基爾比認為,在一種材料上做出所有電路需要的器件才是電路微型化的出路,只需要一種半導體材料就能將所有電子器件集成起來,今天,我們稱之為同構集成 Homogeneous integration。

在這篇文章里,我們重點討論的則是另一個技術:異構集成 Heterogeneous integration。

首先,我們了解一下從同構到異構的發展過程。

從基爾比開始,人類就致力于在硅片上制作出電路所需要的所有器件,在摩爾定律的推動下,硅片上的器件數量以指數方式增長。今天,在一平方毫米的硅片上集成的器件數量可輕松超過一億只,主流芯片都集成了百億量級的晶體管。

同構集成技術的發展已經如此成熟,同樣不可避免地會經歷走向終結的過程,在同構集成逐漸成熟并難以再持續發展的過程中,人類必須尋找一種新的集成方式來延續,這就是異構集成。

異構集成中有一個重點概念我們需要理解,這就是Chiplet,Chiplet意為小芯片,就是將現有的大芯片切割成小芯片,然后再進行集成。

為什么要將大芯片切割成Chiplet?要回答這個問題,我們就需要了解Chiplet技術帶來的三大技術趨勢。

除了將大芯片切割為Chiplet后再進行集成,器件的數量也將不再以指數方式增長,也就是摩爾定律終將走向終結。

筆者認為:“器件將以多種方式集成,系統空間內的功能密度將持續增長”。

8b86e856-e4e3-11ee-a297-92fbcf53809c.jpg

關于摩爾定律的終結、系統空間、功能密度定律等詳細內容請參看作者著作《基于SiP技術的微系統》。

Chiplet技術

Chiplet顧名思義就是小芯片,我們可以把它想象成樂高積木的高科技版本。首先將復雜功能進行分解,然后開發出多種具有單一特定功能,可進行模塊化組裝的“小芯片”(Chiplet),如實現數據存儲、計算、信號處理、數據流管理等功能,并以此為基礎,建立一個“小芯片”的集成系統。

簡單來說,Chiplet技術就是像搭積木一樣,把一些預先生產好的實現特定功能的裸芯片(Chip)通過先進封裝技術集成在一起形成一個系統級芯片,而這些基本的裸芯片就稱為Chiplet。

Chiplet芯片可以使用更可靠和更便宜的技術制造,較小的硅片本身也不太容易產生制造缺陷。此外,Chiplet芯片也不需要采用同樣的工藝,不同工藝生產制造的Chiplet可以通過SiP技術有機地結合在一起。

Chiplet技術的出現帶來了芯片設計的新趨勢,我們簡單描述為:IP芯片化、集成異構化、IO增量化,簡稱三大技術趨勢。

1.IP芯片化

IP(Intellectual Property)是具有知識產權內核的集成電路的總稱,是經過反復驗證過的、具有特定功能的宏模塊,可以移植到不同的半導體工藝中。

到了SoC階段,IP核設計已成為ASIC電路設計公司FPGA提供商的重要任務,也是其實力的體現。對于芯片開發軟件,其提供的IP核越豐富,用戶的設計就越方便,其市場占用率就越高。目前,IP核已經變成SoC系統設計的基本單元,并作為獨立設計成果被交換、轉讓和銷售。

IP核對應描述功能行為的不同分為三類,即軟核(Soft IP Core)、固核(Firm IP Core)和硬核(Hard IP Core)。

當IP硬核是以芯片的形式提供時,就變成了Chiplet。

8bb6515e-e4e3-11ee-a297-92fbcf53809c.png

我們可以這么理解:SiP中的Chiplet就對應于SoC中的IP硬核,Chiplet 是一種新的 IP 重用模式,就是硅片級別的IP重用。

設計一個SoC系統級芯片,以前的方法是從不同的 IP 供應商購買一些 IP,軟核、固核或硬核,結合自研的模塊,集成為一個 SoC,然后在某個芯片工藝節點上完成芯片設計和生產的完整流程。有了Chiplet以后,對于某些 IP,就不需要自己做設計和生產了,而只需要買別人實現好的硅片,然后在一個封裝里集成起來,形成一個 SiP。

所以,Chiplet 可以看成是一種硬核形式的 IP,但它是以芯片的形式提供的。因此,我們稱之為IP芯片化。

2. 集成異構化

在半導體集成中,Heterogeneous 是異構異質的含義,在這里我們將其分為異構HeteroStructure和異質HeteroMaterial兩個層次的含義。

HeteroStructureIntegration

在這篇文章中,異構集成HeteroStructure Integration主要指將多個不同工藝單獨制造的芯片封裝到一個封裝內部,以增強功能性和提高工作性能,可以對采用不同工藝、不同功能、不同制造商制造的組件進行封裝。

8bd2ef1c-e4e3-11ee-a297-92fbcf53809c.png

例如上圖所示:將7nm、10nm、28nm、45nm的Chiplet通過異構集成技術封裝在一起。

通過異構集成技術,工程師可以像搭積木一樣,在芯片庫里將不同工藝的Chiplet小芯片組裝在一起。

HeteroMaterial Integration

近年來集成硅(CMOS和BiCMOS)射頻技術已經在功率上取得巨大的進步,同時也將頻率擴展到了100GHz左右。然而還有眾多應用只能使用像磷化銦(InP)和氮化鎵(GaN)這樣的化合物半導體技術才能實現。磷化銦能提供最大頻率為1太赫茲的晶體管,具備高增益和高功率,以及超高速混合信號電路。而氮化鎵能使器件具備大帶寬、高擊穿電壓、以及高達100GHz的輸出頻率。

因此將不同材料的半導體集成為一體——即異質集成HeteroMaterial Integration,可產生尺寸小、經濟性好、設計靈活性高、系統性能更佳的產品。

如下圖所示,將Si、GaN、SiC、InP生產加工的Chiplet通過異質集成技術封裝到一起,形成不同材料的半導體在同一款封裝內協同工作的場景。

8bd6d988-e4e3-11ee-a297-92fbcf53809c.png

在單個襯底上橫向集成不同材料的半導體器件(硅和化合物半導體)以及無源元件(包括濾波器天線)等是Chiplet應用中比較常見的集成方式。

需要讀者注意的是,目前不同材料的多芯片集成主要采用橫向平鋪的方式在基板上集成,對于縱向堆疊集成,則傾向于堆疊中的芯片采用同種材質,從而避免了由于熱膨脹系統等參數的不一致而導致的產品可靠性降低,如下圖所示。

8bdac3c2-e4e3-11ee-a297-92fbcf53809c.png

3. IO增量化

如果說前面講的是Chiplet技術的優勢,那么,IO增量化則給Chiplet帶來了挑戰。

IO增量化體現在水平互聯(RDL)的的增量化,同時也體現在垂直互聯(TSV)的增量化。

在傳統的封裝設計中,IO數量一般控制在幾百或者數千個,Bondwire工藝一般支持的IO數量最多數百個,當IO數量超過一千個時,多采用FlipChip工藝。在Chiplet設計中,IO數量有可能多達幾十萬個,為什么會有這么大的IO增量呢?

我們知道,一塊PCB的對外接口通常不超過幾十個,一款封裝對外的接口為幾百個到數千個,而在芯片內部,晶體管之間的互聯數量則可能多達數十億到數百億個。越往芯片內層深入,其互聯的數量會急劇增大。

Chiplet是大芯片被切割成的小芯片,其間的互聯自然不會少,經常一款Chiplet封裝的硅轉接板超過100K+的TSV,250K+的互聯,這在傳統封裝設計中是難以想象的。

由于IO的增量化,Chiplet的設計也對EDA軟件提出了新的挑戰,Chiplet技術需要EDA工具從架構探索、芯片設計、物理及封裝實現等提供全面支持,以在各個流程提供智能、優化的輔助,避免人為引入問題和錯誤。

Cadence、Synopsys、Siemens EDA(Mentor)等傳統的集成電路EDA公司都相繼推出支撐Chiplet集成的設計仿真驗證工具。

總 結

從基爾比開始,同構集成技術經過六十多年的發展,已經相當成熟,并逐漸走向極致,同時,摩爾定律以指數增長的趨勢也難以為繼,人類必須尋找一種新的集成方式來進行延續,這就是異構集成。

異構集成以更靈活的方式讓功能單位在系統空間進行集成,并讓系統空間的功能密度持續增長,只是這種增長不再以指數方式增長。

異構集成的單元可稱之為Chiplet,Chiplet技術給集成電路產業帶來了新的變化,該技術既有新的優勢也帶來了新的挑戰。

總結一下,Chiplet帶來的三大技術趨勢就是:IP芯片化、集成異構化、IO增量化。




審核編輯:劉清

聲明:本文內容及配圖由入駐作者撰寫或者入駐合作網站授權轉載。文章觀點僅代表作者本人,不代表電子發燒友網立場。文章及其配圖僅供工程師學習之用,如有內容侵權或者其他違規問題,請聯系本站處理。 舉報投訴
  • 集成電路
    +關注

    關注

    5336

    文章

    10899

    瀏覽量

    354785
  • 信號處理
    +關注

    關注

    47

    文章

    884

    瀏覽量

    102665
  • ASIC設計
    +關注

    關注

    0

    文章

    32

    瀏覽量

    10595
  • sip封裝
    +關注

    關注

    4

    文章

    64

    瀏覽量

    15426
  • chiplet
    +關注

    關注

    6

    文章

    383

    瀏覽量

    12454

原文標題:Chiplet帶來的三大技術趨勢

文章出處:【微信號:IP與SoC設計,微信公眾號:IP與SoC設計】歡迎添加關注!文章轉載請注明出處。

收藏 人收藏

    評論

    相關推薦

    醫療電子技術新趨勢—便攜與無線

    市場營銷經理Jonathan BeaRField認為,便攜式醫療設備在設備本身和內部的電路兩方面給產品設計帶來了新挑戰。而且從技術以外的更宏觀的角度講,便攜推動的醫療技術變革對整個社會和經濟都有一定
    發表于 12-15 14:01

    2012電源管理新趨勢

    本帖最后由 eehome 于 2013-1-5 09:55 編輯 2012電源管理新趨勢電源管理的技術趨勢是高效能、低功耗、智能化。提高效能涉及兩個不同方面的內容:一方面想要保持能量轉換的綜合
    發表于 03-27 16:44

    2012電源管理新趨勢

    2012電源管理新趨勢電源管理的技術趨勢是高效能、低功耗、智能化。提高效能涉及兩個不同方面的內容:一方面想要保持能量轉換的綜合效率,同時還希望減小設備的尺寸;另一方面是保護尺寸不變,大幅度提高
    發表于 03-27 16:47

    千策良品了解的產品設計新趨勢是什么?J

    科技的發達,給我們的生活帶來了很多的便利,這些年來,隨著移動終端、互聯網和人機交互技術出現,不僅為人們帶來了新的生活同時也為人們帶來了新的
    發表于 12-28 10:48

    CAN究竟給我們帶來了什么電子技術呢?

    CAN究竟給我們帶來了什么電子技術呢?CAN又為我們帶來了什么樣的行車生活?CAN又給我們用車的方式帶來什么什么樣的改變呢?
    發表于 05-12 06:07

    液晶顯示技術的最新趨勢是什么?

    液晶顯示技術的最新趨勢是什么?
    發表于 06-08 06:52

    北極雄芯開發的首款基于Chiplet異構集成的智能處理芯片“啟明930”

    首個基于Chiplet的“啟明930”AI芯片。北極雄芯年來專注于Chiplet領域探索,成功驗證了用Chiplet異構集成在全國產封裝供
    發表于 02-21 13:58

    對于中國醫療行業六大新趨勢獨家解讀

    中國醫療行業六大新趨勢盤點。
    的頭像 發表于 12-26 15:44 ?4916次閱讀

    chiplet是什么意思?chiplet和SoC區別在哪里?一文讀懂chiplet

    從 DARPA 的 CHIPS 項目到 Intel 的 Foveros,都把 chiplet 看成是未來芯片的重要基礎技術。簡單來說,chiplet
    發表于 01-04 15:58 ?5.7w次閱讀

    中國首個原生Chiplet芯片標準來了

    或許大家對Chiplet還不太了解,簡單來說,Chiplet技術就是對原本復雜的SoC芯片的解構,將滿足特定功能的裸片通過die-to-die內部互連
    的頭像 發表于 12-21 15:49 ?1484次閱讀

    Chiplet技術給EDA帶來了哪些挑戰?

    Chiplet技術芯片設計與制造的各個環節都帶來了劇烈的變革,首當其沖的就是chiplet接口電路IP、EDA工具以及先進封裝。
    發表于 04-03 11:33 ?380次閱讀

    芯華章淺談eda、Chiplet等新型技術趨勢

    從傳統的E/E架構到跨域融合,再到中央集成式域控制器,基于單SoC芯片的艙駕融合方案已成為當前的重點研發方向。芯粒(Chiplet技術出現,為通過架構創新實現算力跨越以及打造平臺化
    發表于 05-25 14:58 ?213次閱讀
    芯華章淺談eda、<b class='flag-5'>Chiplet</b>等新型<b class='flag-5'>技術</b><b class='flag-5'>趨勢</b>

    Chiplet技術的發展現狀和趨勢

    、董事長兼總裁戴偉民博士以《面板級封裝:Chiplet和SiP》為題進行了視頻演講。他表示,Chiplet是集成電路技術重要的發展趨勢之一,可有效突破高性能
    的頭像 發表于 08-28 10:31 ?864次閱讀

    什么是Chiplet技術?

    什么是Chiplet技術?Chiplet技術是一種在半導體設計和制造中將大型芯片的不同功能分解并分散實現在多個較小和專用的
    的頭像 發表于 01-25 10:43 ?805次閱讀
    什么是<b class='flag-5'>Chiplet</b><b class='flag-5'>技術</b>?

    新趨勢下,國產數據庫或“春山可望”

    數據庫發展出現新趨勢
    的頭像 發表于 01-30 12:12 ?158次閱讀
    亚洲欧美日韩精品久久_久久精品AⅤ无码中文_日本中文字幕有码在线播放_亚洲视频高清不卡在线观看
    <acronym id="s8ci2"><small id="s8ci2"></small></acronym>
    <rt id="s8ci2"></rt><rt id="s8ci2"><optgroup id="s8ci2"></optgroup></rt>
    <acronym id="s8ci2"></acronym>
    <acronym id="s8ci2"><center id="s8ci2"></center></acronym>