<acronym id="s8ci2"><small id="s8ci2"></small></acronym>
<rt id="s8ci2"></rt><rt id="s8ci2"><optgroup id="s8ci2"></optgroup></rt>
<acronym id="s8ci2"></acronym>
<acronym id="s8ci2"><center id="s8ci2"></center></acronym>
0
  • 聊天消息
  • 系統消息
  • 評論與回復
登錄后你可以
  • 下載海量資料
  • 學習在線課程
  • 觀看技術視頻
  • 寫文章/發帖/加入社區
會員中心
創作中心

完善資料讓更多小伙伴認識你,還能領取20積分哦,立即完善>

3天內不再提示

怎樣使用CORDIC算法求解角度正余弦呢?

FPGA之家 ? 來源:博客園 ? 2023-08-31 14:54 ? 次閱讀

1、算法簡介

CORDIC(Coordinate Rotation Digital Computer)算法即坐標旋轉數字計算方法,是J.D.Volder1于1959年首次提出,主要用于三角函數、雙曲線、指數、對數的計算。該算法通過基本的加和移位運算代替乘法運算,使得矢量的旋轉和定向的計算不再需要三角函數、乘法、開方、反三角、指數等函數,計算向量長度并能把直角坐標系轉換為極坐標系。因為Cordic 算法只用了移位和加法,很容易用純硬件來實現,非常適合FPGA實現。

CORDIC算法是天平稱重思想在數值運算領域的杰出范例。核心的思想是把非線性的問題變成了線性的迭代問題【4】。

CORDIC算法完成坐標或向量的平面旋轉(下圖以逆時針旋轉為例)。

20a3bca4-47c1-11ee-97a6-92fbcf53809c.png

旋轉后,可得如下向量:

20b31a82-47c1-11ee-97a6-92fbcf53809c.png

旋轉的角度θ經過多次旋轉得到的(步步逼近,接近二分查找法),每次旋轉一小角度。單步旋轉定義如下公式:

20c217f8-47c1-11ee-97a6-92fbcf53809c.png

公式(2)提取cosθ,可修改為:

20d063ee-47c1-11ee-97a6-92fbcf53809c.png

修改后的公式把乘法次數從4次改為3次,剩下的乘法運算可以通過選擇每次旋轉的角度去除,將每一步的正切值選為2的指數(二分查找法),除以2的指數可以通過右移操作完成(verilog)。

每次旋轉的角度可以表示為:

20da1fba-47c1-11ee-97a6-92fbcf53809c.png

所有迭代角度累加值等于最終需要的旋轉角度θ:

20e323a8-47c1-11ee-97a6-92fbcf53809c.png

這里Sn為1或者-1,根據旋轉方向確定(后面有確定方法,公式(15)),順時針為-1,逆時針為1。

20ed1822-47c1-11ee-97a6-92fbcf53809c.png

可以得到如下公式:

20f93c6a-47c1-11ee-97a6-92fbcf53809c.png

結合公式(3)和(7),得到公式(8):

210b52ce-47c1-11ee-97a6-92fbcf53809c.png

到這里,除了余弦值這個系數,算法只要通過簡單的移位和加法操作完成。而這個系數可以通過預先計算最終值消掉。首先重新重寫這個系數如下:

211ae4b4-47c1-11ee-97a6-92fbcf53809c.png

第二步計算所有的余弦值并相乘,這個值K稱為增益系數。

2129846a-47c1-11ee-97a6-92fbcf53809c.png

由于K值是常量,我們可以先忽略它。

2138cf60-47c1-11ee-97a6-92fbcf53809c.png

21443a62-47c1-11ee-97a6-92fbcf53809c.png

到這里我們發現,算法只剩下移位和加減法,這就非常適合硬件實現了,為硬件快速計算三角函數提供了一種新的算法。在進行迭代運算時,需要引入一個新的變量Z,表示需要旋轉的角度θ中還沒有旋轉的角度。

2151f328-47c1-11ee-97a6-92fbcf53809c.png

這里,我們可以把前面提到確定旋轉方向的方法介紹了,就是通過這個變量Z的符號確定。

215959c4-47c1-11ee-97a6-92fbcf53809c.png

216e5108-47c1-11ee-97a6-92fbcf53809c.png

通過公式(5)和(15),將未旋轉的角度變為0。

一個類編程風格的結構如下,反正切值是預先計算好的。

217d0fcc-47c1-11ee-97a6-92fbcf53809c.png

1.1 旋轉模式

旋轉模式下,CORDIC算法驅動Z變為0,結合公式(13)和(16),算法的核心計算如下:

21932a14-47c1-11ee-97a6-92fbcf53809c.png

一種特殊情況是,另初始值如下:

219d895a-47c1-11ee-97a6-92fbcf53809c.png

因此,旋轉模式下CORDIC算法可以計算一個輸入角度的正弦值和余弦值。

1.2 向量模式

向量模式下,有兩種特例:

21ac4210-47c1-11ee-97a6-92fbcf53809c.png

因此,向量模式下CORDIC算法可以用來計算輸入向量的模和反正切,也能開方計算,并可以將直角坐標轉換為極坐標。

2、硬件算法實現

根據【5】,可以看到CORDIC迭代算法的一種直接實現方式是反饋結構,此結構只設計一級CORDIC運算迭代單元、然后在系統時鐘的驅動下,將本級的輸出作為本級的輸入,通過同一級迭代完成運算。這種方法硬件開銷小、但控制相對復雜。

所以根據【1】、【2】,使用流水線結構實現了CORDIC迭代算法、求取了角度的正弦和余弦值。

下面分段介紹下各部分代碼:

首先是角度的表示,進行了宏定義,360讀用16位二進制表示2^16,每一度為2^16/360。

//360°--2^16,phase_in = 16bits (input [15:0] phase_in)
//1°--2^16/360
`define rot0  16'h2000    //45
`define rot1  16'h12e4    //26.5651
`define rot2  16'h09fb    //14.0362
`define rot3  16'h0511    //7.1250
`define rot4  16'h028b    //3.5763
`define rot5  16'h0145    //1.7899
`define rot6  16'h00a3    //0.8952
`define rot7  16'h0051    //0.4476
`define rot8  16'h0028    //0.2238
`define rot9  16'h0014    //0.1119
`define rot10 16'h000a    //0.0560
`define rot11 16'h0005    //0.0280
`define rot12 16'h0003    //0.0140
`define rot13 16'h0002    //0.0070
`define rot14 16'h0001    //0.0035
`define rot15 16'h0000    //0.0018

然后是流水線級數定義、增益放大倍數以及中間結果位寬定義。流水線級數16,為了滿足精度要求,有文獻指出流水線級數必須大于等于角度位寬16(針對正弦余弦計算的CORDIC算法優化及其FPGA實現)。增益放大2^16,為了避免溢出狀況中間結果(x,y,z)定義為17為,最高位作為符號位判斷,1為負數,0為正數。

module cordic
(
    input clk,
    
    input [15:0] phase_in,
    output reg signed [16:0] eps,
    output reg signed [16:0] sin,
    output reg signed [16:0] cos
);
parameter PIPELINE = 16;

parameter K = 16'h9b74;
//gian k=0.607253*2^16,9b74,n means the number pipeline
//pipeline 16-level    //maybe overflow,matlab result not overflow
//MSB is signed bit,transform the sin and cos according to phase_in[15:14]
reg signed [16:0] x0=0,y0=0,z0=0;
reg signed [16:0] x1=0,y1=0,z1=0;
reg signed [16:0] x2=0,y2=0,z2=0;
reg signed [16:0] x3=0,y3=0,z3=0;
reg signed [16:0] x4=0,y4=0,z4=0;
reg signed [16:0] x5=0,y5=0,z5=0;
reg signed [16:0] x6=0,y6=0,z6=0;
reg signed [16:0] x7=0,y7=0,z7=0;
reg signed [16:0] x8=0,y8=0,z8=0;
reg signed [16:0] x9=0,y9=0,z9=0;
reg signed [16:0] x10=0,y10=0,z10=0;
reg signed [16:0] x11=0,y11=0,z11=0;
reg signed [16:0] x12=0,y12=0,z12=0;
reg signed [16:0] x13=0,y13=0,z13=0;
reg signed [16:0] x14=0,y14=0,z14=0;
reg signed [16:0] x15=0,y15=0,z15=0;
reg signed [16:0] x16=0,y16=0,z16=0;

還需要定義memory型寄存器數組并初始化為0,用于寄存輸入角度高2位的值。

reg [1:0] quadrant [PIPELINE:0];
integer i;
initial
begin
    for(i=0;i<=PIPELINE;i=i+1)
    quadrant[i] = 2'b0;
end

接著,是對輸入角度象限處理,將角度都轉換到第一象限,方便處理。輸入角度值最高兩位賦值0,即轉移到第一象限[0°,90°]。此外,完成x0,y0和z0的初始化,并增加一位符號位。

always @ (posedge clk)//stage 0,not pipeline
begin
    x0 <= {1'b0,K}; //add one signed bit,0 means positive
    y0 <= 17'b0;
    z0 <= {3'b0,phase_in[13:0]};//control the phase_in to the range[0-Pi/2]
end

接下來根據剩余待旋轉角度z的符號位進行16次迭代處理,即完成16級流水線處理。

View Code

其中使用到了算數右移(>>>)運算、所以在之前聲明時將相應的reg/wire均聲明為signed類型。這一點在【1】的最后也有說明。

需要注意的是這里的算數移位運算(這一運算的詳細過程在【3】中進行了說明),與之區分的是邏輯移位運算。

二者規則為:

邏輯左移和右移,空出的位均補零。

算數左移與邏輯左移相同,都在低位補零;算數右移、移出的高位比特使用符號位填充(0正1負)

舉例說明,對8'b_1011_0111進行邏輯、算數移位的結果如下圖所示:

2216cc84-47c1-11ee-97a6-92fbcf53809c.png

比如這里的原數值是8'b10110111、為負數(補碼形式)、換算成十進制為-73.

算數右移一位之后的結果是8'b11011011、由補碼換算成原碼再換算為十進制為-37.

由于進行了象限的轉換,最終流水結果需要根據象限進行轉換為正確的值。這里寄存17次高2位角度輸入值,配合流水線結果用于象限判斷,并完成轉換。

//according to the pipeline,register phase_in[15:14]
always @ (posedge clk)
begin
quadrant[0] <= phase_in[15:14];
quadrant[1] <= quadrant[0];
quadrant[2] <= quadrant[1];
quadrant[3] <= quadrant[2];
quadrant[4] <= quadrant[3];
quadrant[5] <= quadrant[4];
quadrant[6] <= quadrant[5];
quadrant[7] <= quadrant[6];
quadrant[8] <= quadrant[7];
quadrant[9] <= quadrant[8];
quadrant[10] <= quadrant[9];
quadrant[11] <= quadrant[10];
quadrant[12] <= quadrant[11];
quadrant[13] <= quadrant[12];
quadrant[14] <= quadrant[13];
quadrant[15] <= quadrant[14];
quadrant[16] <= quadrant[15];
end

最后,根據寄存的高2位角度輸入值,利用三角函數關系,得出最后的結果,其中負數進行了補碼操作。

//alter register, according to quadrant[16] to transform the result to the right result
always @ (posedge clk)
    eps <= z16;

always @ (posedge clk) begin
case(quadrant[16]) //or 15
2'b00:begin //if the phase is in first quadrant,the sin(X)=sin(A),cos(X)=cos(A)
        cos <= x16;
        sin <= y16;
        end
2'b01:begin //if the phase is in second quadrant,the sin(X)=sin(A+90)=cosA,cos(X)=cos(A+90)=-sinA
        cos <= ~(y16) + 1'b1;//-sin
        sin <= x16;//cos
        end
2'b10:begin //if the phase is in third quadrant,the sin(X)=sin(A+180)=-sinA,cos(X)=cos(A+180)=-cosA
        cos <= ~(x16) + 1'b1;//-cos
        sin <= ~(y16) + 1'b1;//-sin
        end
2'b11:begin //if the phase is in forth quadrant,the sin(X)=sin(A+270)=-cosA,cos(X)=cos(A+270)=sinA
        cos <= y16;//sin
        sin <= ~(x16) + 1'b1;//-cos
        end
endcase
end

完整代碼:

220bf228-47c1-11ee-97a6-92fbcf53809c.jpgWhole Code

testbench測試代碼:

220bf228-47c1-11ee-97a6-92fbcf53809c.jpgTestbench

3、Modelsim仿真結果

2261b8e8-47c1-11ee-97a6-92fbcf53809c.png

仿真結果的補充說明:

(1)程序全程未使用復位信號,testbench中第一個計算的角度為16'h2000也就是45度,如果以圖示時刻為0時刻、仿真結果對應的波形即分別為sin(x+π/4)和cos(x+π/4)的波形。作為參考,0.5*√2*65535≈46340.

(2)關于運算過程中的位數溢出

根據仿真結果,本測試例下,x4出現過16位位數溢出。

(3)關于流水線設計的理解

前文提到過實現CORDIC迭代算法時可以使用反饋結構(只使用一級)、也可以使用流水線結構(多級),如果任務是只單獨計算一個角度的正弦或者余弦值,那么所需要的迭代次數或者說消耗的時鐘周期數量其實是相同的,本設計中為16個時鐘。

流水線結構的威力是在連續、源源不斷地計算一組多個角度的正余弦值的時候才展現出來,當初始流水線被填滿之后,每經過一個時鐘周期、都會在輸出上獲得一個更新的角度的正余弦結果值,上圖仿真結果圖中黃色cursor左側的時間段內、流水線即被逐步填滿。

換句話說,如果現在的任務是要計算n個角度的正余弦值、計算一個角度需要的迭代次數為x,反饋結構需要的時長為(n*x)個時鐘周期,流水線結構只需要(n+x-1)個時鐘周期。







審核編輯:劉清

聲明:本文內容及配圖由入駐作者撰寫或者入駐合作網站授權轉載。文章觀點僅代表作者本人,不代表電子發燒友網立場。文章及其配圖僅供工程師學習之用,如有內容侵權或者其他違規問題,請聯系本站處理。 舉報投訴
  • FPGA
    +關注

    關注

    1608

    文章

    21355

    瀏覽量

    594333
  • 寄存器
    +關注

    關注

    30

    文章

    5137

    瀏覽量

    118042
  • 向量機
    +關注

    關注

    0

    文章

    166

    瀏覽量

    20729
  • CORDIC
    +關注

    關注

    0

    文章

    36

    瀏覽量

    19850
  • CORDIC算法
    +關注

    關注

    0

    文章

    17

    瀏覽量

    9701

原文標題:使用CORDIC算法求解角度正余弦及Verilog實現

文章出處:【微信號:zhuyandz,微信公眾號:FPGA之家】歡迎添加關注!文章轉載請注明出處。

收藏 人收藏

    評論

    相關推薦

    基于改進的CORDIC算法的FFT復乘及其FPGA實現

    的性能。但傳統CORDIC算法中每次CORDIC迭代方向需由剩余角度的計算來確定,影響了工作速度。為此,本文根據定點FFT復乘中旋轉因子的旋轉方向可預先確定的特點,對
    發表于 07-11 21:32

    分分鐘看懂CORDIC算法

    最近出于項目需要,對CORDIC算法深入學習下。剛開始的時候上網搜了下資料發現一上來就直接是推導公式,然后工程運用與理論推導聯系太少感覺無從下手!對于像我們數學丟了很多年的同學來說實在是痛苦啊。好在
    發表于 08-11 14:05

    CORDIC算法求助

    請問CORDIC算法用verilog算法實現時,角度累加器中的45度,26.56度,14.04度怎么跟verilog語言相對應?
    發表于 07-11 20:18

    基于UDB的CORDIC

    大家好,這是一個UDP實現的16位定點CORDIC,用于計算給定角度的正弦和余弦。它在PSoC 3上被支持,并且可能(忽略警告)運行到33 MHz。我已經附上了一個演示項目與項目庫,所以嘗試運行它在
    發表于 05-24 10:03

    FPGA設計中必須掌握的Cordic算法

    大多數工程師在碰到需要在 FPGA 中實現諸如正弦、余弦或開平方這樣的數學函數時,首先會想到的是用查找表,可能再結合線性內插或者冪級數(如果有乘法器可用)。不過對這種工作來說,CORDIC 算法
    發表于 09-19 09:07

    基于FPGA的數控振蕩器原理及設計方法

    制約。因此,當需要設計高速、高精度的數控振蕩器時,不宜采用查表法。為了避免使用大容量存儲器,可以考慮利用算法來產生余弦樣本?;谑噶啃D的CORDIC
    發表于 07-15 08:00

    基于CORDIC算法的載波同步鎖相環設計

    研究了一種利用CORDIC算法的矢量及旋轉模式對載波同步中相位偏移進行估計并校正的方法。設計并實現了基于CORDIC算法的數字鎖相環。通過仿真,驗證了設計的有效性和高效性。
    發表于 12-15 14:49 ?0次下載
    基于<b class='flag-5'>CORDIC</b><b class='flag-5'>算法</b>的載波同步鎖相環設計

    求解特定消諧逆變器開關角度的完備算法_楊克虎

    求解特定消諧逆變器開關角度的完備算法_楊克虎
    發表于 01-08 11:28 ?1次下載

    使用Xilinx CORDIC IP核生成正、余弦

    本文介紹如何調用Xilinx的CORDIC IP核生成某一頻率的正弦波和余弦波。 主要是CORDIC IP核的設置,下面對其具體參數的設置進行了說明。 標注1:選擇函數的類型,這里選擇sin和cos
    發表于 02-08 15:24 ?4763次閱讀
    使用Xilinx <b class='flag-5'>CORDIC</b> IP核生成正、<b class='flag-5'>余弦</b>波

    cordic算法verilog實現(簡單版)

    cordic算法verilog實現(簡單版)(轉載)module cordic(clk, phi, cos, sin); parameter W = 13, W_Z = 14; input clk; input [W_Z-1
    發表于 02-11 03:06 ?3124次閱讀
    <b class='flag-5'>cordic</b><b class='flag-5'>算法</b>verilog實現(簡單版)

    FPGA基于CORDIC算法的求平方實現

    CORDIC是在沒有專用乘法器(最小化門數量)情況下,一組完成特定功能的算法,包括平方、超越、Log、sin/cos/artan。原理為連續的旋轉一個較小的角度,以一定精度逼近想要的角度
    發表于 02-11 19:24 ?5471次閱讀

    基于FPGA的Cordic算法實現的設計與驗證

    本文是基于FPGA實現Cordic算法的設計與驗證,使用Verilog HDL設計,初步可實現正弦、余弦、反正切函數的實現。將復雜的運算轉化成FPGA擅長的加減法和乘法,而乘法運算可以用移位運算代替
    發表于 07-03 10:18 ?2437次閱讀
    基于FPGA的<b class='flag-5'>Cordic</b><b class='flag-5'>算法</b>實現的設計與驗證

    一文帶你們了解什么是CORDIC算法

    CORDIC算法簡介 在信號處理領域,CORDIC(Coordinate Rotation Digital Computer,坐標旋轉數字計算機)算法具有重大工程意義。
    的頭像 發表于 04-11 11:16 ?1.3w次閱讀
    一文帶你們了解什么是<b class='flag-5'>CORDIC</b><b class='flag-5'>算法</b>

    FPGA實現Cordic算法求解arctanθ

    由于在項目中需要使用的MPU6050,進行姿態解算,計算中設計到arctan 和 sqr(x*2 + y * 2),這兩部分的計算,在了解了一番之后,發現Cordic算法可以很方便的一次性求出這兩個這兩部分的計算。
    的頭像 發表于 09-27 09:30 ?1019次閱讀
    FPGA實現<b class='flag-5'>Cordic</b><b class='flag-5'>算法</b><b class='flag-5'>求解</b>arctanθ

    深度解析CORDIC算法原理

    CORDIC算法的思想是通過迭代的方法,使得累計旋轉過的角度的和無限接近目標角度。它是一種數值計算逼近的方法,運算只有移位和加減。
    的頭像 發表于 04-29 16:48 ?350次閱讀
    深度解析<b class='flag-5'>CORDIC</b><b class='flag-5'>算法</b>原理
    亚洲欧美日韩精品久久_久久精品AⅤ无码中文_日本中文字幕有码在线播放_亚洲视频高清不卡在线观看
    <acronym id="s8ci2"><small id="s8ci2"></small></acronym>
    <rt id="s8ci2"></rt><rt id="s8ci2"><optgroup id="s8ci2"></optgroup></rt>
    <acronym id="s8ci2"></acronym>
    <acronym id="s8ci2"><center id="s8ci2"></center></acronym>