<acronym id="s8ci2"><small id="s8ci2"></small></acronym>
<rt id="s8ci2"></rt><rt id="s8ci2"><optgroup id="s8ci2"></optgroup></rt>
<acronym id="s8ci2"></acronym>
<acronym id="s8ci2"><center id="s8ci2"></center></acronym>
0
  • 聊天消息
  • 系統消息
  • 評論與回復
登錄后你可以
  • 下載海量資料
  • 學習在線課程
  • 觀看技術視頻
  • 寫文章/發帖/加入社區
創作中心

完善資料讓更多小伙伴認識你,還能領取20積分哦,立即完善>

3天內不再提示

二十進制編碼器及Verilog HDL描述 Verilog HDL程序的基本結構及特點

FPGA之家 ? 來源:頭條號電子工程師小李 ? 2023-08-28 09:54 ? 次閱讀

節通過硬件描述語言Verilog HDL對二十進制編碼器的描述,介紹Verilog HDL程序的基本結構及特點。

二十進制編碼器及Verilog HDL描述

二十進制編碼器是數字電路中常用的電路單元,它的輸入是代表0~9這10個輸入端的狀態信息。輸入信號為高電平時,輸出相應的BCD碼,因此也稱為10線4線編碼器。其功能表及電路符號如圖3-1所示。

ed0f3586-453a-11ee-a2ef-92fbcf53809c.jpg

圖3-1二十進制編碼器

【例3-1】利用Verilog HDL對二十進制編碼器進行設計。

ed2b10bc-453a-11ee-a2ef-92fbcf53809c.jpg

Verilog HDL程序的基本構成

從例3-1可以看出,一個完整的Verilog HDL程序由3個基本部分構成,分別是:模塊端口定義部分、信號類型說明部分和邏輯功能描述語句部分。其結構圖如3-2所示。

ed4dc9ea-453a-11ee-a2ef-92fbcf53809c.jpg

圖3-2Verilog HDL程序基本結構

模塊端口定義部分

對于硬件描述語言來說,一個程序代表了一個具有某種邏輯功能的電路,模塊端口定義部分描述了該電路的接口部分的信息,即輸入輸出信號的信息。

模塊端口定義部分的語法結構如下:

module模塊名(端口信號1,端口信號2,端口信號3,端口信號4,……);

input[width:0]端口信號1,端口信號3,……;

output[width:0]端口信號2,端口信號4,……;

程序以關鍵詞module引導,模塊名是設計者對于設計的電路所取的名字,在模塊端口定義的第1行列出了所有進出該電路模塊的端口信號,在第2行和第3行中定義了各端口信號流動方向。流動方向包括輸入(input)、輸出(output)和雙向(inout),[width:0]表示信號的位寬,如果位寬沒有特別說明,則系統默認為1位寬度。

【例3-2】模塊端口定義舉例。

ed5ef968-453a-11ee-a2ef-92fbcf53809c.jpg

信號類型說明部分

在Verilog HDL語法中,信號共有兩種數據類型,分別為:網線類型(net型)和寄存器類型(register型)。在信號類型說明部分除了要對輸入/輸出端口的信號類型進行說明之外,還要對程序中定義的中間量的數據類型進行說明。

信號類型說明部分的語法結構如下:

wire[width:0]信號1,信號2,……;

reg[width:0]信號3,信號4,……;

【例3-3】信號類型說明舉例。

ed7059d8-453a-11ee-a2ef-92fbcf53809c.jpg

ed82b1c8-453a-11ee-a2ef-92fbcf53809c.jpg

邏輯功能描述語句部分

邏輯功能描述語句部分對輸入/輸出信號之間的邏輯關系進行了描述,是Verilog HDL程序設計中最主要的部分,在電路上相當于器件的內部電路結構。在Verilog HDL語言中,常用的邏輯功能描述語句可以分為以下3種:

例化語句:調用已進行元件化封裝的程序。這種語句常應用于層次化設計的頂層文件設計中。

【例3-4】例化語句舉例。

ed954a0e-453a-11ee-a2ef-92fbcf53809c.jpg

該語句分別調用了一個非門和與門電路模塊。

連續賦值語句:描述信號之間簡單的賦值關系。在連續賦值語句中,右邊表達式使用的操作數無論何時發生變化,右邊表達式都重新計算。這類描述通常以關鍵詞assign引導。

【例3-5】連續賦值語句舉例。

eda3a8f6-453a-11ee-a2ef-92fbcf53809c.jpg

該語句描述了輸出信號與輸入信號的賦值關系。

過程語句:以關鍵詞always、initial等關鍵詞引導的語句,描述了一定條件下信號之間的賦值關系。這種變量數據被賦值后,其值保持不變,直到下一次條件具備時對它們重新賦值。

【例3-6】過程語句舉例。

edb3dda2-453a-11ee-a2ef-92fbcf53809c.jpg

與連續賦值語句一樣,always和initial也描述了輸出信號與輸入信號的賦值關系,但是這種賦值往往是一種比較復雜的條件賦值,例如,例3-6就用了if……else語句描述了輸出信號與輸入信號的條件關系。

審核編輯:湯梓紅
聲明:本文內容及配圖由入駐作者撰寫或者入駐合作網站授權轉載。文章觀點僅代表作者本人,不代表電子發燒友網立場。文章及其配圖僅供工程師學習之用,如有內容侵權或者其他違規問題,請聯系本站處理。 舉報投訴
  • 編碼器
    +關注

    關注

    41

    文章

    3378

    瀏覽量

    131784
  • Verilog
    +關注

    關注

    28

    文章

    1327

    瀏覽量

    109416
  • HDL
    HDL
    +關注

    關注

    8

    文章

    324

    瀏覽量

    47121
  • 程序
    +關注

    關注

    114

    文章

    3630

    瀏覽量

    79652

原文標題:邏輯功能描述語句部分

文章出處:【微信號:zhuyandz,微信公眾號:FPGA之家】歡迎添加關注!文章轉載請注明出處。

收藏 人收藏

    評論

    相關推薦

    【FPGA學習】Verilog HDL有哪些特點

    Verilog HDL特點Verilog HDL 語言不僅定義了語法,而且對每個語法結構都定
    發表于 09-18 09:33

    Verilog-HDL實踐與應用系統設計

    Verilog-HDL實踐與應用系統設計本書從實用的角度介紹了硬件描述語言Verilog-HDL。通過動手實踐,體驗Verilog-HDL的語法結構
    發表于 11-14 22:57 ?147次下載
    <b class='flag-5'>Verilog-HDL</b>實踐與應用系統設計

    什么是Verilog HDL?

    什么是Verilog HDL? Verilog HDL是一種硬件描述語言,用于從算法級、門級到開關級的多種抽象設計層次的數字系統建模。被建
    發表于 01-18 14:53 ?3853次閱讀
    什么是<b class='flag-5'>Verilog</b> <b class='flag-5'>HDL</b>?

    Verilog HDL程序基本結構程序入門

    Verilog HDL程序基本結構程序入門 Verilog
    發表于 02-08 11:43 ?2211次閱讀

    Verilog HDL語言簡介

    Verilog HDL語言簡介 1.什么是Verilog HDLVerilog HDL是硬件描述語言的一種,用于數
    發表于 02-09 08:59 ?3742次閱讀

    Verilog HDL程序設計教程_王金明

    Verilog HDL 程序設計教程》對Verilog HDL程序設計作了系統全面的介紹,以可
    發表于 09-22 15:53 ?0次下載
    <b class='flag-5'>Verilog</b> <b class='flag-5'>HDL</b><b class='flag-5'>程序</b>設計教程_王金明

    Verilog_HDL的基本語法詳解(夏宇聞版)

    Verilog_HDL的基本語法詳解(夏宇聞版):Verilog HDL是一種用于數字邏輯電路設計的語言。用Verilog HDL
    發表于 10-08 14:48 ?0次下載
    <b class='flag-5'>Verilog_HDL</b>的基本語法詳解(夏宇聞版)

    Verilog HDL程序設計與實踐

    Verilog HDL程序設計與實踐著重介紹了Verilog HDL語言
    發表于 10-29 14:45 ?21次下載

    Verilog HDL硬件描述語言_結構建模

    本章講述Verilog HDL中的結構建模方式。結構建模方式用以下三種實例語句描述,verilog
    發表于 04-25 14:58 ?14次下載

    Verilog HDL程序設計教程

    Verilog HDL程序設計教程-人郵
    發表于 05-11 11:30 ?34次下載

    Verilog HDL的基礎知識詳細說明

    硬件描述語言基本語法和實踐 (1)VHDL 和Verilog HDL的各自特點和應用范圍 (2)Verilog
    發表于 07-03 17:36 ?53次下載
    <b class='flag-5'>Verilog</b> <b class='flag-5'>HDL</b>的基礎知識詳細說明

    Verilog教程之Verilog HDL程序設計語句和描述方式

    本文檔的主要內容詳細介紹的是Verilog教程之Verilog HDL程序設計語句和描述方式。
    發表于 12-09 11:24 ?46次下載
    <b class='flag-5'>Verilog</b>教程之<b class='flag-5'>Verilog</b> <b class='flag-5'>HDL</b><b class='flag-5'>程序</b>設計語句和<b class='flag-5'>描述</b>方式

    如何使用Verilog HDL描述可綜合電路?

    1、如何使用Verilog HDL描述可綜合電路 Verilog 有什么奇技淫巧?我想最重要的是理解其硬件特性。Verilog
    的頭像 發表于 04-04 11:19 ?3900次閱讀
    如何使用<b class='flag-5'>Verilog</b> <b class='flag-5'>HDL</b><b class='flag-5'>描述</b>可綜合電路?

    Verilog HDL verilog hdl和vhdl的區別

    Verilog HDL是一種以文本形式描述數字系統硬件的結構和行為的硬件描述語言,也可描述邏輯電
    的頭像 發表于 07-23 14:36 ?1w次閱讀

    Verilog HDL入門教程-Verilog HDL的基本語法

    Verilog HDL入門教程-Verilog HDL的基本語法
    發表于 01-07 09:23 ?162次下載
    亚洲欧美日韩精品久久_久久精品AⅤ无码中文_日本中文字幕有码在线播放_亚洲视频高清不卡在线观看
    <acronym id="s8ci2"><small id="s8ci2"></small></acronym>
    <rt id="s8ci2"></rt><rt id="s8ci2"><optgroup id="s8ci2"></optgroup></rt>
    <acronym id="s8ci2"></acronym>
    <acronym id="s8ci2"><center id="s8ci2"></center></acronym>