<acronym id="s8ci2"><small id="s8ci2"></small></acronym>
<rt id="s8ci2"></rt><rt id="s8ci2"><optgroup id="s8ci2"></optgroup></rt>
<acronym id="s8ci2"></acronym>
<acronym id="s8ci2"><center id="s8ci2"></center></acronym>
0
  • 聊天消息
  • 系統消息
  • 評論與回復
登錄后你可以
  • 下載海量資料
  • 學習在線課程
  • 觀看技術視頻
  • 寫文章/發帖/加入社區
會員中心
創作中心

完善資料讓更多小伙伴認識你,還能領取20積分哦,立即完善>

3天內不再提示

VIVADO中時序報告中WNS、WHS、TNS、THS有什么含義

OpenFPGA ? 來源:CSDN技術社區 ? 作者:zpc0212 ? 2021-10-21 14:32 ? 次閱讀

VIVADO中時序報告中WNS,WHS,TNS,THS含義運行“report_timing”或“report_timing_summary”命令后,會注意到 WNS、TNS、WHS 和 THS。

WNS 代表最差負時序裕量 (Worst Negative Slack)

TNS 代表總的負時序裕量 (Total Negative Slack),也就是負時序裕量路徑之和。

WHS 代表最差保持時序裕量 (Worst Hold Slack)

THS 代表總的保持時序裕量 (Total Hold Slack),也就是負保持時序裕量路徑之和。

這些值告訴設計者設計與時序要求相差多少。如果為正值,則說明能達到時序要求,若為負值,則說明時序達不到要求。

但是并不代表有時序警告功能實現不了,只是工程不穩定。更加或者刪減模塊甚至重新編譯都會出現問題。這時候可以打開implementation查看時序警告的位置。

9212b4c2-322d-11ec-82a8-dac502259ad0.png

所謂時序達不到要求就是指不能夠滿足建立保持時間,應該去關注對于時序的約束。

時序約束包括很多,后面會針對這方面專門出一個專欄。主要的是對時鐘信號的周期、占空比的約束,時鐘分組,時鐘抖動,還有IO的輸入輸出延時約束等

來源:https://support.xilinx.com/s/article/51455?language=zh_CN

編輯:jq

聲明:本文內容及配圖由入駐作者撰寫或者入駐合作網站授權轉載。文章觀點僅代表作者本人,不代表電子發燒友網立場。文章及其配圖僅供工程師學習之用,如有內容侵權或者其他違規問題,請聯系本站處理。 舉報投訴
  • THS
    THS
    +關注

    關注

    0

    文章

    11

    瀏覽量

    15890
  • Vivado
    +關注

    關注

    18

    文章

    791

    瀏覽量

    65413

原文標題:【Vivado那些事兒】VIVADO中時序報告中WNS,WHS,TNS,THS含義

文章出處:【微信號:Open_FPGA,微信公眾號:OpenFPGA】歡迎添加關注!文章轉載請注明出處。

收藏 人收藏

    評論

    相關推薦

    THS4221,THS4225,THS4222,THS4226低失真、高速、軌到軌輸出運算放大器數據表

    電子發燒友網站提供《THS4221,THS4225,THS4222,THS4226低失真、高速、軌到軌輸出運算放大器數據表.pdf》資料免費下載
    發表于 06-11 09:55 ?0次下載
    <b class='flag-5'>THS</b>4221,<b class='flag-5'>THS</b>4225,<b class='flag-5'>THS</b>4222,<b class='flag-5'>THS</b>4226低失真、高速、軌到軌輸出運算放大器數據表

    hal_initTickuwTickFreq的含義是什么?

    在設定1ms延時中,HAL_SYSTICK_Config(SystemCoreClock / (1000U / uwTickFreq))這個函數里uwTickFreq代表含義是什么,1000
    發表于 03-18 06:25

    Vivado時序問題分析

    有些時候在寫完代碼之后呢,Vivado時序報紅,Timing一欄有很多時序問題。
    的頭像 發表于 01-05 10:18 ?836次閱讀

    THS4275/THS3095具有關斷狀態的AMP怎么用?

    THS4275/THS3095等具有關斷狀態的AMP怎么用? 像THS4275和THS3095這種具有關斷狀態的OPA怎么用?它的REF和PD管腳怎么接?
    發表于 12-22 07:52

    FPGA時序約束--基礎理論篇

    。 時序約束可以讓VIvado和Quartus等FPGA開發軟件,在布線時檢測綜合出來的邏輯電路是否滿足這個時序要求,并生成時序報告。
    發表于 11-15 17:41

    vivado使用誤區與進階資料

    Applications”,其實也是專為 Vivado 而設的一個部門,從 Vivado 的早期計劃開始,我和我的同事們就投入到了 Xilinx?和Vivado 的客戶們的推廣和支持
    發表于 09-20 06:31

    Vivado使用指南

    Vivado界面也有Add Source的快捷接口,如下圖所示:進入Add Source界面后選擇對應要添加的文件類型;Constraints:約束文件(含時序約束和物理約束);Design source
    發表于 09-06 17:55

    vivado修改了DTCM的大小后,如何在nuclei studio對應地修改內存的大???

    請問在vivado修改了DTCM的大小后,如何在nuclei studio 對應地修改內存的大???
    發表于 08-16 06:54

    Vivado綜合階段什么約束生效?

    Vivado綜合默認是timing driven模式,除了IO管腳等物理約束,建議添加必要的時序約束,有利于綜合邏輯的優化,同時綜合后的design里面可以評估時序。
    的頭像 發表于 07-03 09:03 ?488次閱讀

    用 TCL 定制 Vivado 設計實現流程

    迭代運行,但需留意每次的時序報告,若出現時序惡化就應及時停止。 增量設計流程 Vivado 的增量設計也是一個不得不提的功能。當設計進行
    發表于 06-28 19:34

    如何讀懂FPGA開發過程中的Vivado時序報告?

    FPGA開發過程中,vivado和quartus等開發軟件都會提供時序報告,以方便開發者判斷自己的工程時序是否滿足時序要求。
    發表于 06-26 15:29 ?658次閱讀
    如何讀懂FPGA開發過程中的<b class='flag-5'>Vivado</b><b class='flag-5'>時序</b><b class='flag-5'>報告</b>?

    如何在Vivado中添加時序約束呢?

    今天介紹一下,如何在Vivado中添加時序約束,Vivado添加約束的方法有3種:xdc文件、時序約束向導(Constraints Wizard)、
    的頭像 發表于 06-26 15:21 ?2559次閱讀
    如何在<b class='flag-5'>Vivado</b>中添加<b class='flag-5'>時序</b>約束呢?

    如何在Vivado中添加時序約束

    前面幾篇文章已經詳細介紹了FPGA時序約束基礎知識以及常用的時序約束命令,相信大家已經基本掌握了時序約束的方法。
    的頭像 發表于 06-23 17:44 ?1576次閱讀
    如何在<b class='flag-5'>Vivado</b>中添加<b class='flag-5'>時序</b>約束

    如何讀懂Vivado時序報告

    FPGA開發過程中,vivado和quartus等開發軟件都會提供時序報告,以方便開發者判斷自己的工程時序是否滿足時序要求。
    的頭像 發表于 06-23 17:44 ?773次閱讀
    如何讀懂<b class='flag-5'>Vivado</b><b class='flag-5'>時序</b><b class='flag-5'>報告</b>

    別克君越控開關失靈,什么情況怎么解決

    開關
    YS YYDS
    發布于 :2023年06月23日 00:38:57
    亚洲欧美日韩精品久久_久久精品AⅤ无码中文_日本中文字幕有码在线播放_亚洲视频高清不卡在线观看
    <acronym id="s8ci2"><small id="s8ci2"></small></acronym>
    <rt id="s8ci2"></rt><rt id="s8ci2"><optgroup id="s8ci2"></optgroup></rt>
    <acronym id="s8ci2"></acronym>
    <acronym id="s8ci2"><center id="s8ci2"></center></acronym>