<acronym id="s8ci2"><small id="s8ci2"></small></acronym>
<rt id="s8ci2"></rt><rt id="s8ci2"><optgroup id="s8ci2"></optgroup></rt>
<acronym id="s8ci2"></acronym>
<acronym id="s8ci2"><center id="s8ci2"></center></acronym>
0
  • 聊天消息
  • 系統消息
  • 評論與回復
登錄后你可以
  • 下載海量資料
  • 學習在線課程
  • 觀看技術視頻
  • 寫文章/發帖/加入社區
會員中心
創作中心

完善資料讓更多小伙伴認識你,還能領取20積分哦,立即完善>

3天內不再提示

Vivado進行時序約束的兩種方式

汽車玩家 ? 來源:科學計算technomania ? 作者:貓叔 ? 2020-03-08 17:17 ? 次閱讀

時序約束輔助工具

上面我們講的都是xdc文件的方式進行時序約束,Vivado中還提供了兩種圖形界面的方式,幫我們進行時序約束:時序約束編輯器(Edit Timing Constraints )和時序約束向導(Constraints Wizard)。兩者都可以在綜合或實現后的Design中打開。

1. 時序約束編輯器

打開之后就可顯示出我們之前做的所有約束,當然,還可以再添加、刪除或修改時序約束。

比如我們要新添加一個主時鐘,先選中左邊的Create Clock,再點擊+號添加約束,然后就會看到下面的界面,按下圖中步驟操作。

其中,選擇時鐘按鈕會彈出一個新的窗口,如下圖所示,我們只需根據時鐘名字進行查找并選擇即可。

2. 時序約束向導

時序約束向導可以自動識別出未約束的主時鐘,我們把wave_gen工程的xdc文件中對clk2的時鐘約束注釋掉,重新綜合并實現后,打開時序約束向導,可以看到clk2被檢測出未約束,點擊編輯按鈕,設置參數后就可完成約束。

時序約束向導會按照主時鐘約束、衍生時鐘約束、輸入延遲約束、輸出延遲約束、時序例外約束、異步時鐘約束等的順序引導設計者創建約束。

聲明:本文內容及配圖由入駐作者撰寫或者入駐合作網站授權轉載。文章觀點僅代表作者本人,不代表電子發燒友網立場。文章及其配圖僅供工程師學習之用,如有內容侵權或者其他違規問題,請聯系本站處理。 舉報投訴
  • 時序約束
    +關注

    關注

    1

    文章

    113

    瀏覽量

    13366
  • Vivado
    +關注

    關注

    18

    文章

    791

    瀏覽量

    65413
收藏 人收藏

    評論

    相關推薦

    Vivado時序問題分析

    有些時候在寫完代碼之后呢,Vivado時序報紅,Timing一欄有很多時序問題。
    的頭像 發表于 01-05 10:18 ?835次閱讀

    FPGA時序約束--基礎理論篇

    。 時序約束可以讓VIvado和Quartus等FPGA開發軟件,在布線時檢測綜合出來的邏輯電路是否滿足這個時序要求,并生成時序報告。
    發表于 11-15 17:41

    FPGA的約束設計和時序分析

    進行FPGA的設計時,經常會需要在綜合、實現的階段添加約束,以便能夠控制綜合、實現過程,使設計滿足我們需要的運行速度、引腳位置等要求。通常的做法是設計編寫約束文件并導入到綜合實現工具,在進行
    發表于 09-21 07:45

    FPGA I/O口時序約束講解

    前面講解了時序約束的理論知識FPGA時序約束理論篇,本章講解時序約束實際使用。
    發表于 08-14 18:22 ?1062次閱讀
    FPGA I/O口<b class='flag-5'>時序</b><b class='flag-5'>約束</b>講解

    FPGA時序約束時序路徑和時序模型

    時序路徑作為時序約束時序分析的物理連接關系,可分為片間路徑和片內路徑。
    發表于 08-14 17:50 ?577次閱讀
    FPGA<b class='flag-5'>時序</b><b class='flag-5'>約束</b>之<b class='flag-5'>時序</b>路徑和<b class='flag-5'>時序</b>模型

    FPGA時序約束之建立時間和保持時間

    FPGA中時序約束是設計的關鍵點之一,準確的時鐘約束有利于代碼功能的完整呈現。進行時序約束,讓軟件布局布線后的電路能夠滿足使用的要求。
    發表于 08-14 17:49 ?973次閱讀
    FPGA<b class='flag-5'>時序</b><b class='flag-5'>約束</b>之建立時間和保持時間

    時序約束連載03~約束步驟總結

    本小節對時序約束做最終的總結
    的頭像 發表于 07-11 17:18 ?443次閱讀
    <b class='flag-5'>時序</b><b class='flag-5'>約束</b>連載03~<b class='flag-5'>約束</b>步驟總結

    時序約束連載02~時序例外

    本文繼續講解時序約束的第四大步驟——時序例外
    的頭像 發表于 07-11 17:17 ?492次閱讀
    <b class='flag-5'>時序</b><b class='flag-5'>約束</b>連載02~<b class='flag-5'>時序</b>例外

    淺談時序設計和時序約束

    ??本文主要介紹了時序設計和時序約束。
    的頭像 發表于 07-04 14:43 ?929次閱讀

    Vivado綜合階段什么約束生效?

    Vivado綜合默認是timing driven模式,除了IO管腳等物理約束,建議添加必要的時序約束,有利于綜合邏輯的優化,同時綜合后的design里面可以評估
    的頭像 發表于 07-03 09:03 ?488次閱讀

    用 TCL 定制 Vivado 設計實現流程

    約束,可以生成時序報告,在每一步都能輸出包含有網表、約束以及布局布線信息(如果有)的設計檢查點(DCP)文件,大大縮短了運行時間。從使用方式
    發表于 06-28 19:34

    時序約束怎么用?時序約束到底是要干嘛?

    很多小伙伴開始學習時序約束的時候第一個疑惑就是標題,有的人可能會疑惑很久。不明白時序約束是什么作用,更不明白怎么用。
    的頭像 發表于 06-28 15:10 ?1091次閱讀
    <b class='flag-5'>時序</b><b class='flag-5'>約束</b>怎么用?<b class='flag-5'>時序</b><b class='flag-5'>約束</b>到底是要干嘛?

    如何在Vivado中添加時序約束呢?

    今天介紹一下,如何在Vivado中添加時序約束,Vivado添加約束的方法有3種:xdc文件、時序
    的頭像 發表于 06-26 15:21 ?2558次閱讀
    如何在<b class='flag-5'>Vivado</b>中添加<b class='flag-5'>時序</b><b class='flag-5'>約束</b>呢?

    如何在Vivado中添加時序約束

    前面幾篇文章已經詳細介紹了FPGA時序約束基礎知識以及常用的時序約束命令,相信大家已經基本掌握了時序約束
    的頭像 發表于 06-23 17:44 ?1575次閱讀
    如何在<b class='flag-5'>Vivado</b>中添加<b class='flag-5'>時序</b><b class='flag-5'>約束</b>

    如何讀懂Vivado時序報告

    FPGA開發過程中,vivado和quartus等開發軟件都會提供時序報告,以方便開發者判斷自己的工程時序是否滿足時序要求。
    的頭像 發表于 06-23 17:44 ?773次閱讀
    如何讀懂<b class='flag-5'>Vivado</b><b class='flag-5'>時序</b>報告
    亚洲欧美日韩精品久久_久久精品AⅤ无码中文_日本中文字幕有码在线播放_亚洲视频高清不卡在线观看
    <acronym id="s8ci2"><small id="s8ci2"></small></acronym>
    <rt id="s8ci2"></rt><rt id="s8ci2"><optgroup id="s8ci2"></optgroup></rt>
    <acronym id="s8ci2"></acronym>
    <acronym id="s8ci2"><center id="s8ci2"></center></acronym>