<acronym id="s8ci2"><small id="s8ci2"></small></acronym>
<rt id="s8ci2"></rt><rt id="s8ci2"><optgroup id="s8ci2"></optgroup></rt>
<acronym id="s8ci2"></acronym>
<acronym id="s8ci2"><center id="s8ci2"></center></acronym>

電子發燒友App

硬聲App

0
  • 聊天消息
  • 系統消息
  • 評論與回復
登錄后你可以
  • 下載海量資料
  • 學習在線課程
  • 觀看技術視頻
  • 寫文章/發帖/加入社區
創作中心

完善資料讓更多小伙伴認識你,還能領取20積分哦,立即完善>

3天內不再提示
電子發燒友網>電子資料下載>可編程邏輯>FPGA/ASIC>Altera FPGA/CPLD設計(高級篇)

Altera FPGA/CPLD設計(高級篇)

2009-02-12 | rar | 23552 | 次下載 | 免費

資料介紹

Altera FPGA/CPLD設計(高級篇)》結合作者多年工作經驗,深入地討論了Altera FPGA/CPLD的設計、優化技巧。在討論FPGA/CPLD設計指導原則的基礎上,介紹了Altera器件的高級應用;引領讀者學習邏輯鎖定設計工具,詳細討論了時序約束與靜態時序分析方法;結合實例討論如何進行設計優化,介紹了Altera的可編程器件的高級設計工具與系統級設計技巧?!禔ltera FPGA/CPLD設計(高級篇)》附帶兩張光盤:光盤1中收錄了Altera Quartus II Web版軟件,讀者可以安裝使用;光盤2中收錄了《Altera FPGA/CPLD設計(高級篇)》所有實例的完整工程、源代碼、詳細操作步驟和使用說明文件,便于讀者邊學邊練,提高實際應用能力?!禔ltera FPGA/CPLD設計(高級篇)》可作為高等院校通信工程、電子工程、計算機、微電子與半導體等專業的教材,也可作為硬件工程師IC工程師的實用工具書。
Altera FPGA/CPLD設計(高級篇) 目錄
 
第1章 可編程邏輯設計指導原則 1
1.1 可編程邏輯基本設計原則 1
1.1.1 面積和速度的平衡與互換原則 1
1.1.2 硬件原則 11
1.1.3 系統原則 13
1.1.4 同步設計原則 17
1.2 可編程邏輯常用設計思想與技巧 19
1.2.1 乒乓操作 19
1.2.2 串并轉換 21
1.2.3 流水線操作 22
1.2.4 異步時鐘域數據同步 23
1.3 Altera推薦的Coding Style 27
1.3.1 Coding Style的含義 27
1.3.2 結構層次化編碼(Hierarchical Coding) 27
1.3.3 模塊劃分的技巧(Design Partitioning) 29
1.3.4 組合邏輯的注意事項 30
1.3.5 時鐘設計的注意事項 33
1.3.6 全局異步復位資源 39
1.3.7 判斷比較語句case和if...else的優先級 39
1.3.8 使用Pipelining技術優化時序 40
1.3.9 模塊復用與Resource Sharing 40
1.3.10 邏輯復制 42
1.3.11 香農擴展運算 44
1.3.12 信號敏感表 46
1.3.13 狀態機設計的一般原則 47
1.3.14 Altera Megafunction資源的使用 49
1.3.15 三態信號的設計 49
1.3.16 加法樹的設計 50
1.4 小結 52
1.5 問題與思考 52
第2章 Altera器件高級特性與應用 53
2.1 時鐘管理 53
2.1.1 時序問題 53
2.1.2 鎖相環應用 60
2.2 片內存儲器 69
2.2.1 RAM的普通用法 69
2.2.2 RAM用做移位寄存器 73
2.2.3 RAM實現固定系數乘法 74
2.3 數字信號處理 75
2.3.1 DSP塊資源 75
2.3.2 工具支持 79
2.3.3 典型應用 79
2.4 片外高速存儲器 80
2.4.1 存儲器簡介 80
2.4.2 ZBT SRAM接口設計 83
2.4.3 DDR SDRAM接口設計 85
2.4.4 QDR SRAM接口設計 99
2.4.5 DDR2、QDR II和RLDRAM II 100
2.4.6 軟件支持和應用實例 100
2.5 高速差分接口和DPA 102
2.5.1 高速差分接口的需求 102
2.5.2 器件的專用資源 102
2.5.3 動態相位調整電路(DPA) 109
2.5.4 軟件支持和應用實例 112
2.6 高速串行收發器 115
2.7 小結 117
2.8 問題與思考 117
第3章 LogicLock設計方法 119
3.1 LogicLock設計方法簡介 119
3.1.1 LogicLock設計方法的目標 120
3.1.2 LogicLock設計流程 122
3.1.3 LogicLock設計方法支持的器件族 122
3.2 LogicLock區域 122
3.2.1 Region的類型與常用屬性值 123
3.2.2 Region的創建方法 124
3.2.3 Region的層次結構 129
3.2.4 指定Region的邏輯內容 130
3.3 LogicLock的約束注意事項 132
3.3.1 約束優先級 132
3.3.2 規劃LogicLock區域 133
3.3.3 向LogicLock區域中布置器件特性 133
3.3.4 虛擬引腳(Virtual Pins) 134
3.4 反標注布線信息 135
3.4.1 導出反標注布線信息 136
3.4.2 導入反標注布線信息 138
3.5 LogicLock設計方法支持的Tcl Scripts 138
3.6 Quartus II基于模塊化的設計流程 139
3.7 小結 149
3.8 問題與思考 149
第4章 時序約束與時序分析 151
4.1 時序約束與時序分析基礎 151
4.1.1 周期與最高頻率 152
4.1.2 利用Quartus II工具分析設計 154
4.1.3 時鐘建立時間 157
4.1.4 時鐘保持時間 158
4.1.5 時鐘輸出延時 158
4.1.6 引腳到引腳的延遲 159
4.1.7 Slack 159
4.1.8 時鐘偏斜 160
4.1.9 Quartus II 時序分析工具和優化向導 160
4.2 設置時序約束的常用方法 161
4.2.1 指定全局時序約束 162
4.2.2 指定個別時鐘約束 166
4.3 高級時序分析 174
4.3.1 時鐘偏斜 174
4.3.2 多時鐘域 176
4.3.3 多周期約束 176
4.3.4 偽路徑 183
4.3.5 修正保持時間違例 185
4.3.6 異步時鐘域時序分析 186
4.4 最小化時序分析 187
4.5 使用Tcl工具進行高級時序分析 188
4.6 小結 189
4.7 問題與思考 189
第5章 設計優化 191
5.1 解讀設計 191
5.1.1 內部時鐘域 192
5.1.2 多周期路徑和偽路徑 193
5.1.3 I/O接口的時序要求 194
5.1.4 平衡資源的使用 194
5.2 設計優化的基本流程和首次編譯 195
5.2.1 設計優化基本流程 195
5.2.2 首次編譯的約束和設置 196
5.2.3 查看編譯報告 198
5.3 資源利用優化 200
5.3.1 設計代碼優化 201
5.3.2 資源重新分配 201
5.3.3 解決互連資源緊張的問題 203
5.3.4 邏輯綜合面積優化 203
5.3.5 網表面積優化 207
5.3.6 寄存器打包 209
5.3.7 Quartus II中的資源優化顧問 211
5.4 I/O時序優化 211
5.4.1 執行時序驅動的編譯 211
5.4.2 使用IOE中的觸發器 212
5.4.3 可編程輸入輸出延時 215
5.4.4 使用鎖相環對時鐘移相 217
5.4.5 其他I/O時序優化方法 218
5.5 最高時鐘頻率優化 219
5.5.1 設計代碼優化 219
5.5.2 邏輯綜合速度優化 225
5.5.3 布局布線器設置 227
5.5.4 網表優化和物理綜合 228
5.5.5 使用LogicLock對局部進行優化 233
5.5.6 位置約束、手動布局和反標注 234
5.5.7 Quartus II中的時序優化顧問 235
5.6 使用DSE工具優化設計 236
5.6.1 為什么需要DSE 236
5.6.2 什么是DSE,如何使用 236
5.7 如何減少編譯時間 238
5.8 設計優化實例 239
5.9 小結 242
5.10 問題與思考 243
第6章 Altera其他高級工具 245
6.1 命令行與Tcl腳本 245
6.1.1 命令行腳本 246
6.1.2 Tcl腳本 250
6.1.3 使用命令行和Tcl腳本 254
6.2 HardCopy流程 255
6.2.1 結構化ASIC 255
6.2.2 HardCopy器件 258
6.2.3 HardCopy設計流程 260
6.3 基于Nios II處理器嵌入式系統設計 263
6.3.1 Nios II處理器系統 263
6.3.2 Avalon交換結構 266
6.3.3 使用SOPC Builder構建系統硬件 269
6.3.4 Nios II IDE集成開發環境 272
6.3.5 Nios II系統典型應用 278
6.4 DSP Builder工具 281
6.4.1 DSP Builder設計流程 281
6.4.2 與SOPC Builder一起構建系統 284
6.5 小結 285
6.6 問題與思考 285
第7章 FPGA系統級設計技術 287
7.1 信號完整性及常用I/O電平標準 287
7.1.1 信號完整性 287
7.1.2 單端標準 292
7.1.3 差分標準 296
7.1.4 偽差分標準 299
7.1.5 片上終端電阻 299
7.2 電源完整性設計 300
7.2.1 電源完整性 300
7.2.2 同步翻轉噪聲 301
7.2.3 非理想回路 304
7.2.4 低阻抗電源分配系統 307
7.3 功耗分析和熱設計 311
7.3.1 功耗的挑戰 311
7.3.2 FPGA的功耗 311
7.3.3 熱設計 313
7.4 SERDES與高速系統設計 315
7.4.1 SERDES的基本概念 316
7.4.2 Altera Stratix GX和Stratix II中SERDES的基本結構 319
7.4.3 典型高速系統應用框圖舉例 324
7.4.4 高速PCB設計注意事項 329
7.5 小結 331
7.6 問題與思考 331

下載該資料的人也在下載 下載該資料的人還在閱讀
更多 >

評論

查看更多

下載排行

本周

  1. 1電子電路原理第七版PDF電子教材免費下載
  2. 0.00 MB  |  1491次下載  |  免費
  3. 2單片機典型實例介紹
  4. 18.19 MB  |  95次下載  |  1 積分
  5. 3S7-200PLC編程實例詳細資料
  6. 1.17 MB  |  27次下載  |  1 積分
  7. 4筆記本電腦主板的元件識別和講解說明
  8. 4.28 MB  |  18次下載  |  4 積分
  9. 5開關電源原理及各功能電路詳解
  10. 0.38 MB  |  11次下載  |  免費
  11. 6100W短波放大電路圖
  12. 0.05 MB  |  4次下載  |  3 積分
  13. 7基于單片機和 SG3525的程控開關電源設計
  14. 0.23 MB  |  4次下載  |  免費
  15. 8基于AT89C2051/4051單片機編程器的實驗
  16. 0.11 MB  |  4次下載  |  免費

本月

  1. 1OrCAD10.5下載OrCAD10.5中文版軟件
  2. 0.00 MB  |  234313次下載  |  免費
  3. 2PADS 9.0 2009最新版 -下載
  4. 0.00 MB  |  66304次下載  |  免費
  5. 3protel99下載protel99軟件下載(中文版)
  6. 0.00 MB  |  51209次下載  |  免費
  7. 4LabView 8.0 專業版下載 (3CD完整版)
  8. 0.00 MB  |  51043次下載  |  免費
  9. 5555集成電路應用800例(新編版)
  10. 0.00 MB  |  33562次下載  |  免費
  11. 6接口電路圖大全
  12. 未知  |  30320次下載  |  免費
  13. 7Multisim 10下載Multisim 10 中文版
  14. 0.00 MB  |  28588次下載  |  免費
  15. 8開關電源設計實例指南
  16. 未知  |  21539次下載  |  免費

總榜

  1. 1matlab軟件下載入口
  2. 未知  |  935053次下載  |  免費
  3. 2protel99se軟件下載(可英文版轉中文版)
  4. 78.1 MB  |  537793次下載  |  免費
  5. 3MATLAB 7.1 下載 (含軟件介紹)
  6. 未知  |  420026次下載  |  免費
  7. 4OrCAD10.5下載OrCAD10.5中文版軟件
  8. 0.00 MB  |  234313次下載  |  免費
  9. 5Altium DXP2002下載入口
  10. 未知  |  233046次下載  |  免費
  11. 6電路仿真軟件multisim 10.0免費下載
  12. 340992  |  191183次下載  |  免費
  13. 7十天學會AVR單片機與C語言視頻教程 下載
  14. 158M  |  183277次下載  |  免費
  15. 8proe5.0野火版下載(中文版免費下載)
  16. 未知  |  138039次下載  |  免費
亚洲欧美日韩精品久久_久久精品AⅤ无码中文_日本中文字幕有码在线播放_亚洲视频高清不卡在线观看
<acronym id="s8ci2"><small id="s8ci2"></small></acronym>
<rt id="s8ci2"></rt><rt id="s8ci2"><optgroup id="s8ci2"></optgroup></rt>
<acronym id="s8ci2"></acronym>
<acronym id="s8ci2"><center id="s8ci2"></center></acronym>