<acronym id="s8ci2"><small id="s8ci2"></small></acronym>
<rt id="s8ci2"></rt><rt id="s8ci2"><optgroup id="s8ci2"></optgroup></rt>
<acronym id="s8ci2"></acronym>
<acronym id="s8ci2"><center id="s8ci2"></center></acronym>

電子發燒友App

硬聲App

0
  • 聊天消息
  • 系統消息
  • 評論與回復
登錄后你可以
  • 下載海量資料
  • 學習在線課程
  • 觀看技術視頻
  • 寫文章/發帖/加入社區
創作中心

完善資料讓更多小伙伴認識你,還能領取20積分哦,立即完善>

3天內不再提示

電子發燒友網>今日頭條>手把手教你學LabVIEW視覺設計

手把手教你學LabVIEW視覺設計

收藏

聲明:本文內容及配圖由入駐作者撰寫或者入駐合作網站授權轉載。文章觀點僅代表作者本人,不代表電子發燒友網立場。文章及其配圖僅供工程師學習之用,如有內容侵權或者其他違規問題,請聯系本站處理。 舉報投訴

評論

查看更多

相關推薦

無刷電機無感FOC控制培訓系列課程

| 本工作室推出電機控制無感foc電機控制系列培訓課程本課程主要讓想進階的算法工程師,和剛參加工作的工程師或者在校學生能夠進一步提高自己的技能,1.從企業用人角度手把手教你做電機控制,提高你的個人
2024-03-10 13:52:40

涂鴉手把手教你DIY超炫酷的龍年煙花!效果超豐富、可玩度更強

千百年來,煙花爆竹被看作是中國人春節的底色,絢爛彌漫的煙花,搭配噼里啪啦的爆竹聲,人們在年味漸濃中享受團聚的歡樂。而近期煙花大師蔡國強的新作品--《海市蜃樓》,也讓放煙花一時成為爆款視頻的“流量密碼”。但出于環境保護的目的,很多城市主要區域依然不能享受在家放煙花的趣味,有人甚至為了跑到允許放煙花的區域,連夜“奔襲”100多公里。為了讓大家在家也能感受有煙花的
2024-02-19 12:59:26186

【先楫HPM5361EVK開發板試用體驗】(原創)6.手把手實戰紅外線傳感器源代碼

試用體驗】2手把手實戰密鑰管理器 KEYM 【先楫HPM5361EVK開發板試用體驗】3手把手實戰安全數據處理器 SDP 【先楫HPM5361EVK開發板試用體驗】4手把手實戰EXIP在線解密引擎 【先
2024-02-09 15:08:26

【先楫HPM5361EVK開發板試用體驗】(原創)5.手把手實戰AI機械臂

HPMicro 【先楫HPM5361EVK開發板試用體驗】2手把手實戰密鑰管理器 KEYM 【先楫HPM5361EVK開發板試用體驗】3手把手實戰安全數據處理器 SDP 【先楫HPM5361EVK開發板
2024-02-06 10:28:43

【飛騰派4G版免費試用】4.手把手玩轉QT界面設計

完成了使用Qt Designer進行界面設計的全部流程!是不是覺得像魔法一樣神奇呢?趕緊試試吧! 接上三篇: 【飛騰派4G版免費試用】1.實戰交叉編譯環境搭建和手把手uboot編譯 【飛騰派4G版免費
2024-01-27 12:49:23

【先楫HPM5361EVK開發板試用體驗】4手把手實戰EXIP在線解密引擎

接上三篇: 【先楫HPM5361EVK開發板試用體驗】1上手HPM5361 - 先楫半導體HPMicro 【先楫HPM5361EVK開發板試用體驗】2手把手實戰密鑰管理器 KEYM 【先楫
2024-01-26 11:08:30

使用DPort-ECT搭建EtherCAT從站step by step

為了讓客戶更好地使用ZLG致遠電子的集成式EtherCAT從站模塊DPort-ECT,本文手把手教你如何使用DPort-ECT模塊和EPC6450-DP評估板快速搭建一個的EtherCAT從站。設備
2024-01-26 08:25:36130

【飛騰派4G版免費試用】3.手把手玩轉制作rootfs根文件系統

接上兩篇:【飛騰派4G版免費試用】1.實戰交叉編譯環境搭建和手把手uboot編譯 【飛騰派4G版免費試用】2.手把手實戰編譯Linux內核 嗨,親愛的工程師、學生和愛好者們,我來啦!今天我要帶
2024-01-09 10:49:37

【米爾-TIAM62開發板-接替335x-試用評測】+(五)手把手玩轉U-Boot控制CPU管腳

接上四篇: 【米爾-TIAM62開發板-接替335x-試用評測】+(一)手把手配置Yocto 【米爾-TIAM62開發板-接替335x-試用評測】+(二)配置U-Boot步驟實戰 【米爾
2024-01-08 10:02:15

labview視覺開發模塊認識及應用

LabVIEW視覺開發模塊是NI公司推出的一款功能強大的圖形化編程軟件,專門用于圖像處理和視覺應用開發。它提供了豐富的視覺函數和工具,能夠實現圖像采集、處理、分析和測量,支持多種視覺算法和技術
2023-12-28 11:00:26387

手把手教你制作DAPLink

這篇文章主要描述利用RT-THREAD+CherryUSB制作DapLink調試器(R_DapLink)全流程。這里先感謝網友:sakumisu提供cherryUSB協議棧的技術支持。 什么是下載調試器簡單來說,下載調試器是將PC(例如通過USB協議)發送的命令轉換為MCU(負責MCU內部外圍設備)理解的語言(例如SWD或JTAG協議)的設備,加載代碼并精確控制執行。 什么是標準簡單來說,標準是一組規則和協議,特定行業中的每個參與者都同意遵循并執行。符合某種內核的單片機Q,都可以使用這種協議來下載程
2023-12-26 08:35:51521

PCB上怎么畫GND?手把手教你畫“GND”

不要跨步“GND” 還有一些受制于結構導致的,某一個模塊本應完整的GND,被其他走線分割成多個區域的跨步GND。例如下圖的PCB電路所示,電源輸入的負極接上PCB板后直接變成“GND”也就是①位置,往電源模塊過去的方向上,①與②之間被信號線隔斷
2023-12-22 17:38:39687

【飛騰派4G版免費試用】2.手把手實戰編譯Linux內核

親愛的工程師、學生和愛好者們,我來啦!非常感謝上一篇文章【飛騰派4G版免費試用】1.實戰交叉編譯環境搭建和手把手uboot編譯下方的評論區網友:Jonny的贊賞和表揚,特此為熱心網友再創作一篇,作為
2023-12-16 23:28:20

最新ChatGPT詳細注冊圖文解說教程 ChatGPT賬號注冊詳細步驟分析

2024年注冊ChatGPT詳細教程,手把手教你完成ChatGPT的注冊
2023-12-04 17:18:381982

手把手教你通過CODESYS V3進行PLC編程(三)

宏集MC系列是基于樹莓派的高性能4核控制器;通過之前的教程,我們已經為大家演示了宏集MC-Prime的連接、試運行和CODESYS安裝,并創建了一個計數器項目;本期教程將進一步教大家如何實現CODESYS的可視化
2023-11-30 14:02:16330

手把手教您使用鹽水噴霧試驗機

鹽水噴霧試驗機怎么使用?廣東艾思荔告訴您,鹽水噴霧試驗機使用時要注意的事項很多,操作人員在使用時切不可疏漏。使用前應充分做好準備:純凈水應提前加到飽和桶.鹽水應提前配制好.箱體與箱蓋應提前水密封.電應提前到位。廣東艾思荔售后技術還可提供免費教機服務,讓您操作維護無后顧之憂。1.把試驗箱接上電源,操作面板上有三個指示燈亮,這三個指示燈,都是水位指示燈,分別是兩
2023-11-29 11:05:09275

手把手教你實現一個Java Agent(JVM啟動時的處理流程)

Arthas命令很多,如果是exit、logout、quit、jobs、fg、bg、kill等簡單的命令,就會直接執行,如果是trace這種復雜的命令,會專門用一個類寫處理的邏輯,如上圖,根據名字就可以猜到這個類是處理什么命令的,這么多類的組織形式是模版模式,入口在com.taobao.arthas.core.shell.command.AnnotatedCommand#process,
2023-11-27 10:53:58557

手把手教你通過CODESYS V3進行PLC編程(一)

宏集MC系列模塊化控制器是基于Raspberry Pi的高性能4核控制器,本系列教程將以宏集MC-Prime為例,詳細演示通過CODESYS V3進行控制器測試編程的步驟,引導您輕松玩轉宏集MC系列控制器?
2023-11-21 15:13:41324

明德揚K7核心板試用體驗-第一篇

了解這個領域,自己還挺感興趣的,于是私下自學FPGA,今年也算是我正式踏入FPGA行業的起點。 最開始學習FPGA的時候我買過潘老師的《手把手教你學FPGA設計》,對于FPGA新人的我有了很多啟發,其中的計數器、狀態機、FIFO、模塊劃分方法在工程中都非常實用
2023-11-21 11:05:35238

《電子工程師必備——九大系統電路識圖寶典》+附錄2化整為零和集零為整電路分析方法

學習方法 《運算放大器參數解析與LTspice應用仿真》+學習心得3第二章之電氣參數 本人在本論壇內的帖子: 【米爾-TIAM62開發板-接替335x-試用評測】+(一)手把手配置Yocto 【米爾
2023-11-18 21:06:29

LVGL案例分享--手把手教你移植到T113-i國產工業開發板

市面上有許多嵌入式GUI庫可供選擇,包括開源GUI庫和閉源GUI庫,開源GUI庫:LVGL,EmWin等;閉源GUI庫:TouchGFX,柿餅GUI等。 本篇文章主要描述如何將LVGL8.1移植到創龍科技的T113-i的開發板上。 LVGL LVGL,全名“Littlev Graphics Library”,是一個開源的圖形庫,主要用于在嵌入式系統上創建圖形用戶界面(GUI)。它是用C語言編寫的,旨在高效和可定制,因此在各種微控制器平臺和顯示硬件上開發用戶界面時備受歡迎。 LVGL的特性 輕量級:LVGL旨在輕量且高效,適用于資源受限的嵌入式系統,這些系統具有有限的內存和處理能力。 高度可定制:LVGL允許開發人員根據項目要求定制GUI元素的外觀和行為。 小部件庫:它提供了各種預設計的圖形小部件,如按鈕、標簽、滑塊、列表等,以簡化交互式用戶界面的創建。 事件驅動:LVGL是事件驅動的,這意味著它會響應用戶輸入和其他事件以觸發操作或狀態更改。 硬件支持:LVGL支持各種顯示和輸入硬件,包括不同類型的顯示器(TFT、OLED等)和輸入設備(觸摸屏、按鈕等)。 積極的社區:LVGL有一個積極而支持性的社區,為其開發提供了資源并提供開發人員幫助。 跨平臺:LVGL可以在各種微控制器平臺上使用,適用于各種嵌入式系統。 LVGL的開源鏈接:https://github.com/lvgl LVGL移植 下載源碼倉庫 lvgl代碼下載鏈接:https://github.com/lvgl/lvgl/archive/refs/tags/v8.1.0.tar.gz lv_demos代碼下載鏈接:https://github.com/lvgl/lv_demos/archive/refs/tags/v8.1.0.tar.gz lv_drivers代碼卸載鏈接:https://github.com/lvgl/lv_drivers/archive/refs/tags/v8.1.0.tar.gz lv_port_linux_frame_buffer代碼卸載鏈接:https://github.com/lvgl/lv_port_linux_frame_buffer.git,通過git clone下載,然后切換到v8.2分支 將所有代碼寫在下來之后,代碼目錄如下: 源碼文件移植 創建目錄:lvgl,用于存放我們工程源碼 將lvgl源碼復制進lvgl目錄下 將lv_drivers源碼復制進lvgl目錄下 將lv_demos源碼復制進lvgl目錄下 從lvgl中復制lv_conf_template.h到lvgl,并重命名為lv_conf.h 從lv_drivers中復制lv_drv_conf_template.h到lvgl,并重命名為lv_drv_conf.h 從lv_demo中復制lv_demo_conf_template.h到lvgl,并重命名為lv_demo_conf.h 從lv_port_linux_frame_buffer中復制main.c和Makefile到lvgl下 將文件移植完之后,代碼目錄如下: 修改配置文件 修改lv_conf.h,此文件為lvgl的配置文件 使能lv_conf.h頭文件,將#if 0修改為#if 1 根據驅動(可在設備樹中查看)選擇像素點對應像素格式的大小,否則顯示可能亂碼 修改顯存大小 設置Tick定時器配置函數 查看log,使能LV_USE_LOG,設置打印的log等級并選擇打印Log的接口 測試的樣例中用到不同字號的字體,需要使能用到的字體 修改lv_drv_conf.h,此文件為驅動配置文件 使能修改lv_drv_conf.h頭文件,將#if 0修改為#if 1 使能LCD顯示:使能USE_FBDEV,路徑設置成/dev/fb0,根據板子LCD的實際情況設置 使能鼠標或者觸摸屏 修改lv_demo_conf.h,此文件為測試用例配置文件 使能修改lv_demo_conf.h頭文件,將#if 0修改為#if 1 使能LV_USE_DEMO_WIDGETS測試用例 修改main.c文件,此文件為lvgl程序的主程序 修改lvgl的demos頭文件 修改lvgl顯示的分比率,我是采用HDMI屏幕,分辨率為:1920 * 1080 修改鼠標的配置,我的鼠標的設備節點為:/dev/input/event5 修改Makefile文件,此文件為構建工程腳本 修改構建工具鏈路徑,需要選擇T113-i的交叉工具鏈,工具鏈路徑為:xxx/T113-i_v1.0/out/gcc-linaro-5.3.1-2016.05-x86_64_arm-linux-gnueabi/bin/arm-linux-gnueabi-gcc 由于工具鏈不支持編譯選項-Wshift-negative-value,直接傷處對應編譯選項。 因為沒有鼠標的圖標文件,所以把鼠標圖標的配置注釋掉 增加測試樣例的編譯,包含其構建腳本 LVGL編譯驗證 編譯,在lvgl工程目錄下進行構建,執行make命令 編譯完之后,會在當前目錄下生成demo可執行文件 驗證,我們將可執行文件demo拷貝到板子上 在板子運行LVGL實例 運行結果: 總結 lvgl在Linux上的移植是比較簡單快捷的;只需要修改幾個配置文件,即可在目標上運行,對我們部署UI非常方便。 lvgl在T113-i上運行整體效果還可以,流暢度整體滿意,適合做一些項目的落地。 lvgl的是跨平臺的,所以寫一個應用可以多端部署,避免了重復開發的過程。
2023-11-17 09:55:42

手把手教你通過CODESYS V3進行PLC編程(二)

在上一期教程中,我們已經完成了控制器設備的連接和配置。接下來的教程將繼續以HK-MC-Prime為例,假設控制器已經配置并連接到開發者的PC上,為您演示如何為控制器安裝合適的CODESYS V3版本并創建第一個程序。
2023-11-09 10:06:42342

ESP32+TFT 手把手教你學會黑客帝國的數字特效

嗨,各位小伙伴!今天,我們將帶你探索如何在ESP32開發板上制作炫酷的特效,別擔心,不需要編程基礎。我們將一步步學習如何使用TFT屏幕以及ESPI庫。跟著我,輕松入門,一起來搞點有趣的事情吧! 小貼士:伊娃老師的線上工作坊開課啦,要報名的快點看文章最后面!等你報名喲! 完整代碼 ? #include TFT_eSPI tft; //創建對象uint16_t evacolor = tft.color565(0, 0, 0); //rgb/*int dropY = 0; //文字的Yint dropX = random(0, tft.width()); //文字的X ,random(最小值,最大值),從最小值跟
2023-11-09 08:43:59374

虹科干貨 | 舊電腦別急著扔,手把手教你搭建NAS系統存儲照片

一、前期準備 我們的目的是讓設備物盡其用,將舊電腦做成NAS存儲系統后可以使用新電腦進行訪問(Windows / Linux / IOS系統都可以訪問)。在開始之前先來看看安裝成功效果圖吧! 1.設備準備 (1)一臺舊電腦:我們的工程師使用的是戴爾游匣G3 3579(win11系統 + 16G內存(8G是自己加的)+4核CPU+128G NVMe固態硬盤+1T機械硬盤) (2)一根網線:連接到舊電腦上,為舊電腦提供網絡。 ps.也可以使用wifi,但需要保證路由器開啟dhcp自動分配IP地址的功能,不清楚的話
2023-11-08 09:24:14642

虹科干貨|手把手教你通過CODESYS V3進行PLC編程(一)

虹科MC系列模塊化控制器是基于Raspberry Pi的高性能4核控制器,運動控制循環時間最快可達500微秒,實現了計算能力和成本之間的最佳平衡,適用于多軸運動控制和CNC控制。
2023-11-06 10:29:001030

舊電腦別急著扔,手把手教你搭建NAS系統存儲照片

正在看這篇文章的您如果家里有淘汰的舊電腦,先別著急回收只要還有硬盤在,本文免費教您利用TrueNAS搭建NAS存儲系統實現海量數據免費存儲與輕松訪問1前期準備我們的目的是讓設備物盡其用,將舊電腦做成NAS存儲系統后可以使用新電腦進行訪問(Windows/Linux/IOS系統都可以訪問)。在開始之前先來看看安裝成功效果圖吧!設備準備(1)一臺舊電腦:我們的工
2023-11-02 08:09:031803

手把手帶您使用MCUXpresso Config Tools生成USB組合設備

手把手帶您使用MCUXpresso Config Tools生成USB組合設備
2023-10-31 16:55:26633

手把手教你Wireshark使用教程

Wireshark使用的環境大致分為兩種,一種是電腦直連網絡的單機環境,另外一種就是應用比較多的網絡環境,即連接交換機的情況。
2023-10-30 16:40:20594

LOTO示波器_從零開始手把手測電源開環增益/電源環路頻響曲線/PSM

我們之前有篇文章從理論到實踐演示了如何測量電源環路的開環增益曲線,不過偏重于理論和原理,沒有很多細節的展現,所以這片文章從另外的角度,從零基礎開始,手把手一步一步演示如果進行實操測試。
2023-10-26 16:08:55388

示波器_從零開始手把手測電源開環增益/電源環路頻響曲線/PSM

示波器_ 從零開始手把手測電源開環增益/電源環路頻響 曲線/ PSM 我們之前有篇文章從理論到實踐演示了如何測量電源環路的開環增益曲線,不過偏重于理論和原理,沒有很多細節的展現,所以這片文章從另外
2023-10-26 15:54:40

英特爾與百度攜手向前,面向生成式人工智能的星辰大海

在10月17日舉行的百度世界2023上,李彥宏以《手把手教你做AI原生應用》為主題發表演講,發布文心大模型4.0版本,并帶來新搜索、新地圖等十余款AI原生應用。在李彥宏看來,AI原生應用的誕生
2023-10-21 16:40:03274

Python 如何獲取旅游景點信息

今天將手把手教你使用線程池爬取同程旅行的景點信息及評論數據并做詞云、數據可視化?。?!帶你了解各個城市的游玩景點信息。 在開始爬取數據之前,我們首先來了解一下線程。 線程 進程 :進程是代碼在數據集合
2023-10-21 11:10:28274

手把手教你學FPGA仿真

電子發燒友網站提供《手把手教你學FPGA仿真.pdf》資料免費下載
2023-10-19 09:17:361

【直播預告】LabVIEW機械手視覺糾偏關鍵技術探討

視覺軟件著作權;編寫了《Labview視覺算子詳解》一書;開發了《labview機器視覺實用教程》全套2000分鐘視頻教程,《龍哥手把手教你視覺-視覺篇》,《龍哥手把手教你視覺-運動篇》,《龍哥
2023-10-17 15:37:40

手把手教你FPGA仿真篇

大型項目中有專門的驗證同事,這種專業的驗證有專門的驗證方法學,目前SystermVerilog 越來越成為主流的驗證語言。本文不涉及 SystermVerilog 這種專業的驗證語言,本人目的是為了讓大家能夠搭建簡單的 UT 單元測試環境。Verilog 也有適用于仿真的代碼,這些代碼是不可以綜合的??梢允褂肰erilog 來搭建基本的單元測試環境。本問介紹了如何編寫測試驗證程序(test bench)。測試驗證程序用于測試和驗證設計的正確性。Verilog HDL 提供強有力的結構來說明測試驗證程序。
2023-10-13 08:11:45

LabVIEW的汽車門把手傳感器測試系統原理

電子發燒友網站提供《LabVIEW的汽車門把手傳感器測試系統原理.pdf》資料免費下載
2023-10-08 11:16:510

FPGA 求助 200人民幣1小時,按時付費

。需要牛人協助 把ARM M0 的 Verilog Code 建立FPGA project 一步一步,最后燒錄到FPGA 里面驗證 ARM M0 需要有5年以上FPGA經驗的牛人 手把手教一下。 報酬是每小時200人民幣, 按實際花費的時間付費 有意向者,請聯系WX dustofsun 謝謝
2023-10-06 10:35:07

手把手教你基于RT-Thread Studio使用STM32單片機的PWM外設

目前我使用的是STM32L475系列單片機,是ali當時在PDD 50塊錢賣的一個開發板,其他STM32單片機參考即可,這里會手把手教大家基于RTT Studio 來使用PWM。
2023-09-28 15:12:412285

手把手教你制作無線充電器

手把手教你制作無線充電器(無線充電原理+產品應用+DIY實例)
2023-09-28 07:48:01

用51單片機DIY音樂頻譜顯示

該資源是手把手教你用51單片機DIY音樂頻譜顯示
2023-09-27 07:42:25

STM32Cube學習筆記

STM32Cube學習筆記,一步一步手把手帶你進入STM32Cube的世界,包括點燈,按鍵,串口,ADC,DAC等等一共16篇。
2023-09-20 06:49:48

手把手教你pcb壓合的整個流程,小白也能玩轉電路板制作

手把手教你pcb壓合的整個流程,小白也能玩轉電路板制作
2023-09-18 10:43:221335

NFC電路匹配實例 NFC電路原理圖和PCB設計

很多朋友后臺私信我,要有一個手把手的實例演示就好了,剛好一個智能鎖的項目,刷卡距離只有3mm左右,需優化刷卡距離.這次調試記錄了調試過程的所思所想,歡迎批評指證.
2023-09-14 16:00:273366

手把手教你制作無線充電器(無線充電原理+產品應用+DIY實例)

2023-08-29 14:49:271

手把手教你圖形化玩轉STM32智能硬件開發pdf

2023-08-29 14:49:230

手把手教你動態編輯Xilinx FPGA內LUT內容

在7系列FPGA中,將近2/3的SLICE是SLICEL,其余的是SLICEM[1],也就是說,FPGA內2/3的資源在bitstream文件下載后,其邏輯功能就無法更改了,除非修改代碼并生成新的bitstream文件。
2023-08-26 14:18:371039

手把手教你OTA升級開發

簡介 升級包安裝組件運行在updater分區,其功能主要包括讀取misc分區信息獲取升級包狀態,對升級包進行校驗,確保升級包合法有效;然后從升級包中解析出升級的可執行程序,創建子進程并啟動升級程序。具體升級的動作由升級腳本控制。本文將介紹如何針對OpenHarmony L2場景適配updater模式。主要內容包括: *1.OTA升級實現原理 2.OTA升級適配 3.升級包制作工具 4.升級包制作流程 5.OTA升級UX界面適配 6.示例服務器開發概述*前置條件 參考OpenHarmony官方指導,完成正常系統編譯和內核啟動,能進入正常模式,且運行正常。 芯片需配置包含updater分區和misc分區的分區表。updater分區大小不小于32M。 **1、OTA升級實現原理 1.1 OTA實現主要流程:**1.2 升級服務組件 升級服務組件是一個SA(System Ability), 由OHOS 的init 進程負責啟動。 升級服務器引擎主要功能包括: 1、查找可用的升級包 2、下載升級包 3、設置/獲取升級策略 4、觸發升級 代碼目錄 base/update/updateservice# 升級服務代碼倉目錄 ├── interfaces # 升級客戶端接口目錄 │├── kits# 對外接口封裝目錄 ││└── js # 提供給升級客戶端應用的JS 接口目錄 │└── inner_api # SA 接口定義和封裝目錄 ├── frameworks # 部件無獨立進程的實現 │└── js# JS API的實現 │ └── napi# napi代碼實現 │└── client# 升級客戶端napi 接口目錄 ├── services# 獨立進程的實現 │├── callback# 提供給升級客戶端應用的callback接口目錄 │└── engine # 升級客戶端引擎服務目錄 │ ├── etc# 升級客戶端引擎rc配置文件目錄 │ ├── include# 升級客戶端引擎頭文件目錄 │ ├── sa_profile# SA 配置文件目錄 │ └── src# 升級客戶端引擎源碼目錄 ├── test # 測試代碼目錄 │├── unittest# 升級客戶端UT代碼目錄 │└── fuzztest# 升級客戶端FT代碼目錄 ├── BUILD.gn# 編譯入口 └── bundle.json# 部件描述文件 JS接口說明 接口 說明 checkNewVersion 檢查是否有可用的升級包版本 download() 下載升級包 upgrade() 將升級命令寫入到misc分區,最終調用reboot命令,進入到updater 子系統中。 getNewVersionInfo() 升級完成后,獲取升級后的版本信息 setUpgradePolicy 設置升級策略 getUpgradePolicy 獲取升級策略 使用說明 1,導入updateclient lib import client from \'libupdateclient.z.so\' 2,獲取update對象 let updater = client.getUpdater(\'OTA\'); 3,獲取新版本信息 updater.getNewVersionInfo(info =&gt; { info \"新版本信息\" }); 4,檢查新版本 updater.checkNewVersion(info =&gt; { info \"新版本信息\" }); 5,下載新版本,并監聽下載進程 updater.download(); updater.on(\"downloadProgress\", progress =&gt; { progress \"下載進度信息\" }); 6,啟動升級 ------------------------------欲知詳情,請移步LAVAL社區OTA升級開發指導----------------------------
2023-08-22 09:13:18

十年經驗手把手教你單片機

2023-08-21 17:51:062

在vivado上一直識別不了開發板的JATG接口怎么解決?

我在vivado上一直識別不了開發板的JATG接口,也已經參考社區提供的解決方案嘗試了:[size=1.75]RVMCU課堂「9」: 手把手教你玩轉RVSTAR—常見問題,設備管理器顯示如下,但是vivado還是識別不了,請大佬指點一下,謝謝。
2023-08-16 07:16:30

手把手教你學51單片機-C語音版電子版

2023-08-15 15:45:2415

使用LabVIEW 實現物體識別、圖像分割、文字識別、人臉識別等深度視覺

LabVIEW可以實現深度學習嘛,今天我們一起來看看使用LabVIEW 實現物體識別、圖像分割、文字識別、人臉識別等深度視覺
2023-08-11 16:02:21757

labview通用視覺框架參考

labview通用視覺軟件框架,機器視覺通用框架 通用視覺框架源代碼??梢詤⒖加糜陂_發常規案例。里面有部分函數,用戶也可隨意編輯函數,開發速度超級快。打開前需要先安裝labview、VDM、VAS2019及以上版本。使用MySQL數據庫
2023-08-04 15:58:4033

labview視覺通用平臺框架源代碼

labview通用視覺軟件框架,機器視覺通用框架 通用視覺框架源代碼??梢詤⒖加糜陂_發常規案例。里面有部分函數,用戶也可隨意編輯函數,開發速度超級快。打開前需要先安裝labview、VDM、VAS2019及以上版本。 使用MySQL數據庫
2023-08-03 16:57:01

手把手教你如何接點動實操演示

plc編程星三角程序
學習電子知識發布于 2023-08-02 14:59:30

信馳達RF-BM-2340B1藍牙模塊手把手透傳指南

RF-BM-2340B1 是信馳達科技基于美國TI的 CC2340為核心設計的一款SimpleLink 2.4 GHz 無線模塊,支持Bluetooth?5.3 Low Energy、Zigbee?、IEEE 802.15.4g、TI 15.4-Stack (2.4 GHz)及私有協議。集成了高性能 ARM Cortex-M0+ 處理器,具有 512 KB Flash、 36 KB 超低泄漏 SRAM,板載工業級 48 MHz 晶振。模塊引出了 24 個 IO ,包含多種外設,如:I2C、UART、SPI、ADC 和GPIO。支持 BLE 5 功能:高速模式(2 Mbps PHY),遠距離廣播(LE Coded 125kbps 和500kbps PHY),且向下兼容 BLE 4.2 及早期的 BLE 規范的關鍵功能。
2023-07-28 10:01:51314

手把手帶你了解一塊電路板,從設計到制作(干貨)

拆開手機內部,會發現手機的主體部分除了用于顯示的屏幕觸控板就是一塊有各種各樣元器件的電子電路板。 所以問題來了,這個電子電路板是怎么來的? 本次就來聊一聊這個電子電路板從無到有的過程。 首先需要知道,電子電路板也叫主板,主板一般我們叫PCB(Printed Circuit Board)板,中文名稱印刷電路板,上面集成了各種規格的電阻,電容,電感二極管等,當然也包含有各種功能的IC,在這些元件的共同作用下,得到了具有各種功能的電子產品的電
2023-07-26 10:33:121856

手把手教您家用路由器應該如何挑選

手把手教您家用路由器應該如何挑選
2023-07-26 09:20:57763

信馳達RF-BM-2340B1藍牙模塊手把手透傳指南

RF-BM-2340B1 是信馳達科技基于美國TI的 CC2340為核心設計的一款SimpleLink 2.4 GHz 無線模塊,支持Bluetooth5.3 Low Energy、Zigbee、IEEE 802.15.4g、TI 15.4-Stack (2.4 GHz)及私有協議。集成了高性能 ARM Cortex-M0+ 處理器,具有 512 KB Flash、 36 KB 超低泄漏 SRAM,板載工業級 48 MHz 晶振。模塊引出了 24 個 IO ,包含多種外設,如:I2C、UART、SPI、ADC 和GPIO。支持 BLE 5 功能:高速模式(2 Mbps PHY),遠距離廣播(LE Coded 125kbps 和500kbps PHY),且向下兼容 BLE 4.2 及早期的 BLE 規范的關鍵功能。
2023-07-25 18:23:47491

手把手教你單片機程序框架 幾種常見的單片機編程框架解析

什么是框架? 程序框架其實就類似一個文件大綱或者模板。因為寫程序就類似于寫文章,如果沒有大綱或者模板那么你寫起來就會比較費勁。 為什么要有框架? 節約時間,減少錯誤。因為對于一種類型的程序它們代碼結構體邏輯是一樣的,同時有大量相似或者共同的地方。我們可以將這些共同的地方抽出來形成一個固定的程序框架,那么我們再開發新的同一種類型的程序時就可以套用這套框架。 這樣會大大提高我們的開發效率,同時由于這個框架是一
2023-07-17 19:55:021388

U-boot的基本介紹

從本文開始,將陸續推送“手把手教你移植U-boot”系列文章,目標是由淺入深地講解U-boot的工作流程、原理、配置方法和移植方法,手把手教你完成U-boot的移植工作,默認硬件開發平臺為ARM,操作系統為Linux。
2023-07-14 16:52:011233

專家手把手教您注冊 COS 以及提交 Hardware Case

Software Case? 您可參考: (點擊進入?) 《專家手把手教您注冊 COS 以及提交 Case》 。 如您想提交 Hardware Case,本篇將手把手教您,
2023-07-12 12:15:02577

【教程】手把手教你!搭建LoRaWAN自動采集系統

一系統簡介隨著物聯網技術的發展和應用,許多新興的物聯網平臺和協議出現。LoRaWAN是一款基于LoRa的星型網絡,使用LoRa的低功耗、距離遠的特性,這種網絡適用于各類抄表業務。LoRaWAN網絡組成主要分三部分,設備端、網關和服務器端。下文是使用E78-DTU、E890-470LG11LoRaWAN網關搭建一個LoRaWAN的自動采集系統,LoRaWAN服
2023-07-07 10:02:15574

手把手分享做網線水晶頭的技巧

如今,隨著網絡技術的飛速發展,電腦已經成為家庭和工作的必備工具。電腦上網需要接入網線。你知道網線兩端的插頭是怎么做的嗎?下面科蘭小編手把手分享做網線水晶頭的技巧。 做網線水晶頭首先要知道網線的結構
2023-07-05 10:35:441183

好書推薦|《OpenHarmony嵌入式操作原理與應用》

。 這本書除了對rk2206開發板進行硬件原理圖和芯片資源的講解,還對軟件可能涉及到的操作系統原理知識進行了更細致的介紹。所以完全不用擔心這本書教不會你。從環境搭建到使用,可以說是手把手教你如何去做
2023-06-27 23:12:28

手把手教你畫“GND”

“GND”在一塊PCB板上的重要程度,不亞于水對人體的重要程度。怎么畫好“GND”會伴隨硬件工程師很長一段時間,想要畫好“GND”其實并不難,只要注意下面這幾點就可以了。
2023-06-20 10:15:35753

手把手教你單片機,了解一下學習板!

電工技術電工基礎
YS YYDS發布于 2023-06-10 22:55:28

手把手教你無感FOC電機控制

該圖為FOC入門基本框架,我們圍繞著這個框圖開始從0開始寫FOC算法。
2023-06-09 16:43:154176

手把手教你電氣圖變成PLC程序圖

PLC程序設計,一般均采用直覺法,也就是說它植基于電路設計者本身之學習經驗,較為主觀及直接。須經歷一段瞎子摸象的嘗試錯誤(tryanderror)時期,對程序進行除錯之后才能符合所需功能或動作要求;因此設計出來的程序因人而異,除了原程序設計者之外,使用者或維修人員較不易理解其動作流程,亦即程序的可讀性較低。
2023-06-06 10:01:57368

電子初學者必備:手把手教你單片機程序框架

適合初學單片機的朋友
2023-05-31 18:34:18

手把手教你搭建內網穿透服務器

有時候我們需要把外網可以訪問自己的內網,比如在微信公眾號開發調用接口時為了方便調試就需要配置回調地址或者是想把自己的nas可以在不在家就能訪問,這時候就需要內網穿透。
2023-05-29 16:31:2712309

手把手教你開發圓盤儀表控件

大家好,我是新閣教育韓工,前幾天在網上看到了一個比較好看的環形控件,今天我們來嘗試使用GDI+的方式來繪制一下。上位機自定義控件庫其實本質上就是一個類庫,所以我們在創建項目時直接創建類庫項目。
2023-05-29 15:06:450

手把手教你無感FOC電機控制,MATLAB代碼生成

華夏電子工作室:2023年6月8日下午3點(15:00)電機控制MATLAB代碼生成系列課程直播第一季。邀請各位工程師準時來直播間討論和觀看。屆時代碼全開源。 下面我們來說一下FOC簡單框架: 該圖為FOC入門基本框架,我們圍繞著這個框圖開始從0開始寫FOC算法。 首先我們來講一下CLARK變換: 我們把CLARK變換總結如下公式: 變換圖可見變換要點和變換中間電流變換: 到這里,我們把CLAEKPARK,公式已經寫清楚了,SVPWM怎么寫呢: 我們根據七段式SVPWM基本原理,先說落在扇區的充要條件 然后我們在寫七段式SVPWM如下: 有了七段式SVPWM的公式,根據如下圖形: 我們知道落在每個扇區的電壓矢量和電壓大小。那么我們就能搭建一個FOC框架了。到這里我們MATLAB如下編寫模型: 細節如下: 七段式SVPWM編寫: PARK變換,嚴格按照上述公式編寫: 。如上FOC框架基本完成,那么往下我們講無感觀測器 無感觀測器是基于電流積分誤差估算的PLL位置鎖相觀測器:該觀測器優點:重載啟動,耐高低溫,表貼凸極一樣好用。我們來看看觀測器公式: 通過引入Kd,Kq來消除位置觀測誤差,電流誤差等,讓我們更好的得到電機位置。 還能得到電機實時轉矩: 通過dq軸電流誤差估算電機轉矩。所以是一篇不錯的文章和應用。希望大家下載認真看看, 下面給大家付上MATLAB仿真模型及解析: MATLAB Sumlink仿真:*附件:現代永磁同步電機控制原理及MATLAB仿真.zip 另外本工程師推出MATLAB大家控制仿真及代碼生成系列課程,6月8日下午上點電子發燒友直播。請各位工程師朋友一起討論代碼生成,電機控制。如下是工作室仿真模型摘要: 。謝謝觀看。*附件:PLL Position and Speed Observer With Integrated Current Observer for Sensorless PMSM Drives.pdf*附件:電機控宣傳.pptx
2023-05-29 10:12:34

手把手教你MATLAB無感FOC電機看著代碼生成,付贈資料。

華夏電子工作室:2023年6月8日下午3點(15:00)電機控制MATLAB代碼生成系列課程直播第一季。邀請各位工程師準時來直播間討論和觀看。屆時代碼全開源。 下面我們來說一下FOC簡單框架: 該圖為FOC入門基本框架,我們圍繞著這個框圖開始從0開始寫FOC算法。 首先我們來講一下CLARK變換: 我們把CLARK變換總結如下公式: 變換圖可見變換要點和變換中間電流變換: 到這里,我們把CLAEKPARK,公式已經寫清楚了,SVPWM怎么寫呢: 我們根據七段式SVPWM基本原理,先說落在扇區的充要條件 然后我們在寫七段式SVPWM如下: 有了七段式SVPWM的公式,根據如下圖形: 我們知道落在每個扇區的電壓矢量和電壓大小。那么我們就能搭建一個FOC框架了。到這里我們MATLAB如下編寫模型: 細節如下: 七段式SVPWM編寫: PARK變換,嚴格按照上述公式編寫: 。如上FOC框架基本完成,那么往下我們講無感觀測器 無感觀測器是基于電流積分誤差估算的PLL位置鎖相觀測器:該觀測器優點:重載啟動,耐高低溫,表貼凸極一樣好用。我們來看看觀測器公式: 通過引入Kd,Kq來消除位置觀測誤差,電流誤差等,讓我們更好的得到電機位置。 還能得到電機實時轉矩: 通過dq軸電流誤差估算電機轉矩。所以是一篇不錯的文章和應用。希望大家下載認真看看, 下面給大家付上MATLAB仿真模型及解析: MATLAB Sumlink仿真:*附件:現代永磁同步電機控制原理及MATLAB仿真.zip 另外本工程師推出MATLAB大家控制仿真及代碼生成系列課程,6月8日下午上點電子發燒友直播。請各位工程師朋友一起討論代碼生成,電機控制。如下是工作室仿真模型摘要: 。謝謝觀看。*附件:PLL Position and Speed Observer With Integrated Current Observer for Sensorless PMSM Drives.pdf*附件:電機控宣傳.pptx
2023-05-29 10:11:10

手把手教你MATLAB,Sumlink電機控制代碼生成,內容表貼電機凸極電機無感FOC重載啟動。系列課程免費直播在線互動。

2023年06月08日下午3點直播開始(15:00時) 算法完全開源。芯片基于STM32F302C8T6。本次系列課程會在電子發燒友免費直播。期間會把算法全開源。資料全開源。手把手教大家搭建FOC
2023-05-26 14:00:49

手把手教你在RT-THREAD bsp上運行pikascript腳本點亮小燈

簡介 這篇文章介紹如何在RT-THREAD bsp上運行pikascript腳本。 pikascript相當于一個小型的micropython。 原文: https://blog.csdn.net/lt6210925/article/details/130694587 最近有一些結構上的調整,這篇文章大概介紹一下如何使用。以及開發過程中需要注意的問題。 這篇文章幾乎適配所有的RT-THREAD上的bsp。(部分bsp可能需要修改一些兼容性問題) 當然,首先你要對RT-THREAD有所熟悉 熟悉網頁 https://www.rt-thread.org/document/site/#/rt-thread-version/rt-thread-standard/tutorial/quick-start/stm32f103-si
2023-05-25 07:45:01498

上位機中定時器的使用和串口的配置

本文是講解C#.net平臺的Winform框架下的第三個內容,手把手介紹上位機項目的創建方式以及一些寫軟件時常用的功能,講解從零開始的每一個步驟。
2023-05-19 17:17:433

手把手教你,兩臺FX5U簡單CPU通信如何設置?

新建主站PLC工程,設置主站PLC的IP地址。
2023-05-19 10:23:464298

手把手教你用博圖V17編寫一個PLC程序

添加新設備后,與博途V16其它版本不同的是,博途V17有一個彈出窗口,需要進行PLC安全設置。首先設置PLC數據密碼,如圖所示。
2023-05-11 10:42:173038

手把手帶你搞硬件設計

先用萬能植錫鋼網(這是最落后的工具,除此之外還有植錫臺,不過挺貴的),跟BGA對齊,再用膠布把BGA和鋼網粘住固定好。先加錫膏,再用風槍吹一會(風槍的風速和溫度可以調低一點),錫變亮的時候,再用手術刀,把多余的錫刮走。如果錫球不均勻的話,再重復上一步,直接錫球均勻為止。撕掉膠布,用手術刀把BGA撬起來。
2023-05-10 11:54:42775

C#上位機系列(1)—項目的建立

本文是講解C#.net平臺的Winform框架下的第一個內容,手把手介紹項目的創建方式以及一些寫軟件時常用的功能。之前寫過一篇關于示波器的比較抽象,本文講解從零開始的每一個步驟
2023-05-10 10:38:210

手把手教你寫上位機軟件(c#、winform)

在自動化行業中的上位機軟件類型一般分為以下幾種: 1、組裝設備的上位機軟件 該類型的軟件一般有以下功能: 1)和PLC通信; 2)和相機通信,界面顯示圖片以及通過圖像算法獲取算法計算結果; 3)各種參數開放到界面可設置; 4)數據的保存,將數據以csv、txt等格式進行保存; 5)日志用于問題分析
2023-05-10 10:30:285

手把手教你寫上位機(三)TCP使用

網絡通信中的一個非常重要的概念就是套接字(Socket),簡單地說,套接字就是網絡進程的 ID,網絡通信歸根到底是進程的通信,在網絡中,每個節點有一個網絡地址(即 IP 地址),兩個進程通信 時,首先要確定各自所在網絡節點的網絡地址,但是,網絡地址只能確定進程所在的計算機,而一臺計算機上可能同時有多個網絡進程,還不能確定到底是其中的哪個進程,由此套接字中還要有其他的 信息,那就是端口號(Port),在一臺計算機中,一個端口
2023-05-09 10:58:360

手把手教你編寫一個上位機

本次來教大家編寫一個基于QT的簡單的上位機。 學習一個新的東西我們都從最基礎地實例開始,比如學習C語言我們會從編寫一個hello程序開始、學習嵌入式我們從點燈開始。 同樣的,我們也從編寫一個簡單的基于QT的上位機來體會體會上位機開發及認識認識QT。
2023-05-08 10:36:441

手把手教你電機FOC控制

三向電機,分別為UVW三向,角度互差120度。若使用BLDC控制方法,如下圖每次換向增加60度,轉子只能到達六個位置,所以六步換向時會有振動。使用FOC控制方法可以使轉子到達任意角度,所以 運行起來會更加平滑。 如果想到達40度的位置,只需要在0度方向通電一段時間,在60度方向通電一段時間,再在空矢量的狀態下通電一段時間(全橋000或111的位置為空矢量,空矢量的時長用來調節扭矩。后面會講到),三 段時間組成一個周期,以這個周期循環產生
2023-05-06 11:07:4811

工程師手把手教你硬件電路設計

在學習電路設計的時候,不知道你是否有這樣的困擾:明明自己學了很多硬件電路理論,也做過了一些基礎操作實踐,但還是無法設計出自己理想的電路。歸根結底,我們缺少的是硬件電路設計的思路,以及項目實戰經驗。
2023-04-26 09:36:552397

智能面板小程序如何實現跨端開發,并無縫引入ChatGPT?

手把手教你開發智能面板小程序
2023-04-18 18:31:345196

成長計劃知識賦能 | 第十期:DAYU200開發入門秒Get

的框架原理、從零上手OpenHarmony智能家居項目、手把手教你實現WiFi掃描儀、涂鴉小游戲、漸進式深入理解OpenHarmony系統等41節課程,覆蓋近10萬+開發者。2023年
2023-04-17 11:18:05

德索手把手教你延長LVDS連接器的使用壽命

德索五金電子工程師指出,LVDS連接器電子元件的使用壽命,很多時候能決定了行業中電子連接系統的壽命,從電信到制造再到自動化。當您對機器設備或連接系統的早期故障進行故障排除時,故障可能與關鍵系統的LVDS連接器電子元件的故障有關。那該注意哪些問題才能防止這種情況發生呢?
2023-04-14 11:04:15239

手把手教你什么是運放的巧用!

電路分析
YS YYDS發布于 2023-04-14 08:37:49

手把手教你使用Matlab Designer開發App!

matlab
YS YYDS發布于 2023-04-13 18:27:58

手把手教你如何驅動無刷電機

無刷電機
YS YYDS發布于 2023-04-13 14:04:58

【正點原子STM32精英V2開發板體驗】資料獲取方式

個,工程結構更加合理、編程風格更加規范化等。教程方面推出了一系列全新的視頻!目前已經上架(并持續更新)的視頻包括:手把手教你STM32 HAL庫開發教學視頻(兼容全系列)、手把手教你
2023-04-13 09:13:27

超實用!手把手教你如何拷貝遙控器

一般用戶習慣稱為萬能遙控器,而我們一般習慣叫它對拷遙控器或者射頻遙控器,它的出現使電動車或者電動門匹配變得十分簡單,特點是:不需要拷貝機,也不需要知道震蕩電阻,不需要復雜的操作,只需要輕輕松松的幾部操作就可以獲得嶄新且功能一樣的遙控器;但前提是得保證原來遙控器可使用并且知道頻率。
2023-04-07 17:24:158097

手把手教你同軸連接器優化設計

射頻同軸連接器是微波領域中重要的射頻傳輸元件,因其頻帶寬、連接方便可靠、性能優越、成本低廉,在微波通信設備、儀器儀表及武器系統中得到廣泛應用。
2023-04-07 10:50:44733

手把手教你學習Spyglass工具

作為IC設計人員,熟練掌握數字前端語法檢查工具Spyglass的重要性不言而喻,本文手把手教你學習Spyglass工具。
2023-04-03 10:46:541498

手把手教您如何設計3通道交錯式CCM升壓PFC(上篇)

點擊藍字?關注我們 交錯式升壓功率因數校正 (PFC) 轉換器可以通過負載均流來提高效率,因此它已成為高功率應用的首選拓撲。通過在多個平衡相位中分擔負載電流,可以顯著減小每相的 RMS 電流應力、電流紋波和升壓電感大小。因此,重載效率顯著提高,從而允許選擇高性價比的功率 MOSFET 和升壓二極管,并有利于延長電源的使用壽命。 FAN9673 先進 PFC 控制器是實現高功率 PFC(數千瓦以上)的出色解決方案。 FAN9673 是一款連續導通模式 (CCM) PFC 控制器,
2023-03-29 23:20:042484

手把手教你玩轉RVSTAR—SEGGER Embedded Studio+JLink調試器篇

本教程以板上按鍵控制點亮RV-STAR開發板上的LED為目標,從IDE的下載安裝開始詳細介紹了使用SEGGER Embedded Studio+JLink調試器進行RISC-V嵌入式開發的方法。系統環境:Windows 10-64bit硬件平臺:基于GD32VF103 MCU的RV-STAR開發板,JLink調試器(調試器固件版本需支持RISC-V)SEGGER Embedded Studio 和 JLink驅動的下載和安裝SEGGER Embedded Studio是SEGGER公司推出的一款嵌入式開發的集成開發環境,具有開發與編譯界面專業、調試功能強大(配備知名的J-Link仿真器)、非商業使用免費、跨平臺兼容和配置靈活等特點。在SEGGER官網可以下載IDE軟件,其鏈接如下:https://www.segger.com/downloads/embedded-studio/根據自己的操作系統下載對應的安裝包即可,需要注意的是,一定要下載支持RISC-V的IDE。雙擊安裝包運行,安裝過程沒有特殊需要注意的地方,一直點next即可。安裝SEGGER Embedded Studio之后還要安裝JLink驅動,也在SEGGER官網下載,其連接如下:https://www.segger.com/downloads/jlink/同樣,安裝過程沒有特別要注意的,正確安裝即可。下載并配置工具鏈下一步準備工具鏈,從芯來科技官網的下載頁面下載,其鏈接如下:https://www.nucleisys.com/download.php根據使用的操作系統下載對應的RISC-V GNU Toolchain。在SEGGEREmbeddedStudio的安裝路徑下新建一個Nuclei_Toolchain文件夾。如果不記得安裝到哪里了,可以打開安裝好的EmbeddedStudio,菜單欄中選擇“File-&gt;Open Studio Folder-&gt;Studio Folder”即可打開安裝位置。在新建的Nuclei_Toolchain文件夾里面放入解壓好的工具鏈,直接解壓后還需修改一下文件夾層級和文件夾名。文件名修改成gcc,并且要求下一級文件夾是bin文件所在層級,可參考以下截圖:使用JLink調試器連接開發板到PC使用JLink調試RV-STAR需要移除開發板上的五個短接帽,如下圖中紅框所示。連接時如果看不清圖中文字,可以參考板上的絲印。JLink的引腳如下,紅框標注的是需要連接的引腳,將JLink的引腳與上圖中紅框引腳按照名字一一對應相連。注意接到RV-STAR開發板靠近GD32VF130VBT6芯片側的排針上。VTref引腳要接到RV-STAR開發板RGB LED下方的3V3接口。使用時Type-C也需要連接,起到供電的作用。連接后參考實物圖如下:下載DEMO工程在Github下載RV-STAR的demo工程,其鏈接如下:https://github.com/riscv-mcu/ses_nuclei_sdk_projects國內使用github下載速度有限,推薦使用碼云,其鏈接如下:https://gitee.com/riscv-mcu/ses_nuclei_sdk_projects配置使用RTT打印輸出JLink調試器可以使用SEGGER的RTT功能打印輸出,需要配置一些文件到工程當中。下載DEMO工程后打開rvstar_demos文件夾,雙擊rvstar_demos.emProject即可打開demo工程。選擇菜單欄的“File-&gt;Open Studio Folder-&gt;Project Folder”打開工程所在路徑,新建一個SEGGER文件夾。打開J-Link驅動的根目錄,將“Samples-&gt;RTT”路徑下的“SEGGER_RTT_V680d.zip”解壓縮(具體壓縮包名可能因版本不同而變化),如下圖所示。解壓后將RTT文件夾下的“SEGGER_RTT.c”,“SEGGER_RTT.h”和“SEGGER_RTT_Conf.h”三個文件以及Syscalls文件夾下的“SEGGER_RTT_Syscalls_GCC.c”這些文件復制到之前新建的SEGGER文件夾中。在EmbeddedStudio中右擊當前工程,選擇“New Folder”,新建一個SEGGER文件夾,右擊新建的文件夾選擇“Add ExistingFile”,將SEGGER文件夾內新增的四個文件添加至工程中。配置完成如下圖,雙擊打開“SEGGER_RTT_Syscalls_GCC.c”文件,注釋掉第59行的“#include”。右擊當前工程名,選擇Options打開設置頁面,選中“Preprocessor”,在“User Include Directories”中增加“&#036;(SolutionDir)/SEGGER”。在工程的“nuclei_sdk/SoC/hbird/Common/Source/Stubs”下的“write.c”文件處右擊,選擇“Exclude From Build”移除原有的write.c文件。這樣RTT配置完成,在調試時如果有打印內容會自動打開控制臺顯示輸出內容。調試運行DEMO工程右擊當前工程名,選擇“Options”打開工程設置頁面。選中Debugger,雙擊Target Connection選項,在彈窗中切換為J-Link,點擊OK完成設置。雙擊Project ‘running led’選中running_led工程,直接按f5即可進入調試模式。點擊運行,這時候按鍵就會看到led在紅綠藍白之間切換,同時在“Debug Terminal”中看到打印內容。
2023-03-29 14:27:29

手把手教你玩轉RVSTAR—SEGGER Embedded Studio+蜂鳥調試器篇

本教程以板上按鍵控制點亮RV-STAR開發板上的LED為目標,從IDE的下載安裝開始詳細介紹了使用SEGGER Embedded Studio+蜂鳥調試器進行RISC-V嵌入式開發的方法。系統環境:Windows 10-64bit硬件平臺:基于GD32VF103 MCU的RV-STAR開發板SEGGER Embedded Studio的下載和安裝SEGGER Embedded Studio是SEGGER公司推出的一款嵌入式開發的集成開發環境,具有開發與編譯界面專業、調試功能強大(配備知名的J-Link仿真器)、非商業使用免費、跨平臺兼容和配置靈活等特點。在SEGGER官網可以下載IDE軟件,其鏈接如下:https://www.segger.com/downloads/embedded-studio/根據自己的操作系統下載對應的安裝包即可,需要注意的是,一定要下載支持RISC-V的IDE。雙擊安裝包運行,安裝過程沒有特殊需要注意的地方,一直點next即可。下載并配置工具鏈和openocd下一步準備工具鏈和openocd,從芯來科技官網的下載頁面下載,其鏈接如下:https://www.nucleisys.com/download.php根據使用的操作系統下載對應的RISC-V GNU Toolchain和openocd。這里需要使用2021年2月以后的最新版openocd,因為最新版實現了免驅功能,如使用舊版openocd請到官網下載替換更新。在SEGGEREmbeddedStudio的安裝路徑下新建一個Nuclei_Toolchain文件夾。如果不記得安裝到哪里了,可以打開安裝好的EmbeddedStudio,菜單欄中選擇“File-&gt;Open Studio Folder-&gt;Studio Folder”即可打開安裝位置。在新建的Nuclei_Toolchain文件夾里面放入解壓好的工具鏈和openocd,直接解壓后還需修改一下文件夾層級和文件夾名。文件名分別是gcc和openocd,并且要求下一級文件夾是bin文件所在層級,可參考以下截圖:連接板子到PCRV-STAR有板載蜂鳥調試器,所以短接帽接好,直接通過USB type-c接口連接至PC即可。下載調試DEMO工程在github下載RV-STAR的demo工程,其鏈接如下:https://github.com/riscv-mcu/ses_nuclei_sdk_projects國內使用github下載速度有限,推薦使用碼云,其鏈接如下:https://gitee.com/riscv-mcu/ses_nuclei_sdk_projects下載后打開rvstar_demos文件夾,雙擊rvstar_demos.emProject即可打開demo工程。雙擊Project ‘running led’選中running_led工程,直接按f5即可進入調試模式。點擊運行,這時候按鍵就會看到led在紅綠藍白之間切換。如果有串口調試助手的話也可以在串口調試助手看到輸出,Embedded Studio也自帶串口調試助手。
2023-03-29 14:24:21

手把手教你S7-1200中實現PID控制

PID功能用于對閉環過程進行控制。PID控制適用于溫度、壓力和流量等物理量,是工業現場中應用最為廣泛的一種控制方式,其原理是對被控對象設定一個給定值然后將實際值測量出來,并與給定值比較,將其差值送入PID控制器,PID控制器按照一定的運算規律,計算出結果,即為輸出值,送到執行器進行調節,其中的P、I和D指的是比例、積分和微分,是一種閉環控制算法。通過這些參數,可以使被控對象追隨給定值變化并使系統達到穩定,自動消除各種干擾對控制過程的影響。
2023-03-27 14:54:373311

已全部加載完成

亚洲欧美日韩精品久久_久久精品AⅤ无码中文_日本中文字幕有码在线播放_亚洲视频高清不卡在线观看
<acronym id="s8ci2"><small id="s8ci2"></small></acronym>
<rt id="s8ci2"></rt><rt id="s8ci2"><optgroup id="s8ci2"></optgroup></rt>
<acronym id="s8ci2"></acronym>
<acronym id="s8ci2"><center id="s8ci2"></center></acronym>