<acronym id="s8ci2"><small id="s8ci2"></small></acronym>
<rt id="s8ci2"></rt><rt id="s8ci2"><optgroup id="s8ci2"></optgroup></rt>
<acronym id="s8ci2"></acronym>
<acronym id="s8ci2"><center id="s8ci2"></center></acronym>
0
  • 聊天消息
  • 系統消息
  • 評論與回復
登錄后你可以
  • 下載海量資料
  • 學習在線課程
  • 觀看技術視頻
  • 寫文章/發帖/加入社區
會員中心
創作中心

完善資料讓更多小伙伴認識你,還能領取20積分哦,立即完善>

3天內不再提示

聊一聊FPGA的片內資源相關知識

FPGA學習交流 ? 來源:互聯網 ? 作者:佚名 ? 2018-05-25 14:11 ? 次閱讀

大家好,到了每日學習的時間了。今天我們來聊一聊FPGA的片內資源相關知識。
主流的FPGA仍是基于查找表技術的,已經遠遠超出了先前版本的基本性能,并且整合了常用功能(如RAM、DCM和DSP)的硬核(ASIC型)模塊。如圖所示,FPGA芯片主要由7部分組成,分別為:可編程輸入輸出單元(IOB)、基本可編程邏輯單元(CLB)、完整的時鐘管理(DCM)、嵌入式塊RAM、豐富的布線資源、內嵌的底層功能單元和內嵌專用硬件模塊。
094751opuzn1ttur6u6hdh.png

1.可編程輸入輸出單元(IOB)
可編程輸入/輸出單元簡稱I/O單元,是芯片與外界電路的接口部分,完成不同電氣特性下對輸入/輸出信號的驅動與匹配要求。FPGA內的I/O按組分類,每組都能狗獨立地支持不同的I/O標準。通過軟件的靈活配置,可是配不通電氣標準與I/O物理特性,可以調整驅動電流的大小,可以改變上、下拉電阻。I/O口的頻率也越來越高。
為了便于管理和適應多種電氣標準,FPGA的IOB被劃分為若干組(bank),每個bank的接口標準由其接口電壓VCCO決定,一個bank只能有一種VCCO,但不同的bank可以有不同的VCCO,只有相同電氣標準的接口才能連接在一起。

2.可配置邏輯塊(CLB)
CLB是FPGA內的基本邏輯單元,CLB的實際數量和特性會因為器件的不同而不同,但是每個CLB都包含一個可配置開關矩陣,此矩陣由4或6個輸入、一些選型電路(MUX)和觸發器組成。開關矩陣是高度靈活的,可以對其進行配置以組成組合邏輯、移位寄存器或RAM。在Xilinx公司的FPGA器件中,CLB有多個相同的Slice和附加邏輯組成,每個CLB模塊不僅可以用于實現組合邏輯、時序邏輯,還可以配置為分布式RAM和分布式ROM。
Slice是Xilinx公司定義的基本邏輯單位,一個Slice由兩個4輸入的函數發生器(LUT)、進位邏輯、算數邏輯、存儲邏輯和函數復用器組成。

3.數字時鐘管理模塊(DCM)
業內大多數FPGA均提供數字時鐘管理(Xilinx全部FPGA都有這種特性)。Xilinx推出最先進的數字時鐘管理和相位環路鎖定。DCM可以完成對時鐘的分頻倍頻功能,并能維持各時鐘之間的相位關系,即零時鐘偏差。

4.嵌入式塊RAM(BRAM)
BRAM有很高的靈活性,可以被配置位單端口RAM、雙端口RAM、內容地址存儲器(CAM)以及FIFO等常用存儲結構。在實際應用中,芯片內部BRAM數量是芯片選型的一個重要因素,單片塊RAM的容量是18kbits,即位寬為18比特、深度為1024。也可以根據需要更改其位寬與深度(位寬不得大于36bits)。亦可以將多塊BRAM級聯起來形成更大的RAM。

5.豐富的布線資源
布線資源連通FPGA內部所有單元,而連線長度和工藝決定著信號在連線上的驅動能力和傳輸速度。FPGA芯片內部有著豐富的不限資源,根據工藝、長度、寬度和分布位置而劃分為4類不同的類別。第一類是全局布線資源,用于芯片內部全局時鐘和全局復位/置位的布線;二、長線資源,用以完成芯片bank之間的高速信號和第二全局時鐘信號的布線;三、是短線資源,用于完成基本邏輯單元之間的邏輯鏈接和布線;四、分布式的布線資源,用于專有時鐘、復位等控制信號線。

6.底層內嵌功能單元
內嵌功能模塊主要是指DLL(Delay Locked Loop)、PLL(Phase Locked Loop)、DSP和CPU等軟處理核。

7.內嵌專用硬核
內嵌專用硬核是相對底層遷入的軟核而言的,等效于ASIC電路。為了提高FPGA性能,芯片生產商在芯片內部集成了一些專用的硬核。例如:專用乘法器,串并收發器(SERDES)可以達到數十Gbps收發速度。

今天就聊到這里,各位,加油。

聲明:本文內容及配圖由入駐作者撰寫或者入駐合作網站授權轉載。文章觀點僅代表作者本人,不代表電子發燒友網立場。文章及其配圖僅供工程師學習之用,如有內容侵權或者其他違規問題,請聯系本站處理。 舉報投訴
  • FPGA
    +關注

    關注

    1608

    文章

    21367

    瀏覽量

    594627
收藏 人收藏

    評論

    相關推薦

    簡談FPGA內資源

    簡談FPGA內資源
    發表于 01-08 22:12

    電子工程師之家QQ群150628376,線上線下交朋友,談工作,...

    電子工程師之家150628376,線上線下交朋友,談工作,人生。。喜歡的頂起。
    發表于 09-27 16:24

    Linux 下用UDP實現群聊單

    Linux 下用UDP實現群聊單,能夠實現單和群聊。程序不長,可以作為學習網絡的檢測。
    發表于 07-19 23:37

    下阻抗匹配

    下阻抗匹配,電路加個阻抗匹配網絡,搞成和負載樣的阻抗,這樣豈不是多了個假負載?不是損耗了效率嗎?而事實上剛好相反,哪個壇友分析下緣故
    發表于 10-31 17:55

    5.16日晚20:00 《暢LabVIEW視覺編程入門知識,你將成為黑馬程序園!》課程直播,報名參與免費學習資料等你來領取~!

    `錯過Labview課程優惠活動的小伙伴們~小姐姐又來給大家送福利啦!5月16日晚8點邀請大家免費觀看龍哥《暢LabVIEW視覺編程入門知識,你將成為黑馬程序園!》掃碼海報二維碼,獲取免費觀看資格
    發表于 05-14 14:28

    Altium中Fill,Polygon Pour,Plane的區別和用法

    Fill會造成短路,為什么還用它呢?來Altium中Fill,Polygon Pour,Plane的區別和用法
    發表于 04-25 06:29

    你知道的和不知道的電流鏡

    這期來點輕松的,你知道的和不知道的電流鏡。電流源可算是模擬集成電路中最基礎的內容,也是有很多花樣的基本單元。電流源是籠統的叫法,具體會根據電流的流向,分別叫做電流源(Current
    發表于 06-24 06:56

    stm32的低功耗調試

    前言:物聯網的大部分設備都是電池供電的,設備本身低功耗對延長設備使用至關重要,今天就實際調試總結stm32的低功耗調試。1、stm32在運行狀態下的功耗上圖截圖自stm32l15x手冊
    發表于 08-11 08:18

    CPU/MCU/FPGA/SoC芯片的區別

    大俠好,歡迎來到FPGA技術江湖,江湖偌大,相見即是緣分。大俠可以關注FPGA技術江湖,在“闖蕩江湖”、"行俠仗義"欄里獲取其他感興趣的資源,或者起煮酒言歡。今天和大俠簡單
    發表于 11-01 07:55

    7系列FPGA的供電部分

    前幾篇咱們說了FPGA內部邏輯,本篇咱們再聊7系列FPGA的供電部分。首先咱們說spartan7系列,通常咱們需要使用以下電源軌:1,VCCINT
    發表于 11-11 09:27

    下GS的波形

    對于咱們電源工程師來講,我們很多時候都在看波形,看輸入波形,MOS開關波形,電流波形,輸出二極管波形,芯片波形,MOS管的GS波形,我們拿開關GS波形為例來下GS的波形。我們測試MOS管GS波形
    發表于 11-16 09:15

    平衡小車代碼的實現

    前言今天代碼,只有直立功能的代碼。代碼總體思路給定個目標值,單片機通過IIC和mpu6050通信,得知數據后,根據角度環計算出個P
    發表于 01-14 08:29

    串口環形隊列常用的幾種方法

    1、串口常用的幾種方式查詢方式可靠性很高,要考慮下個數據包覆蓋上個數據包的問題,小數據量,在10個字節以內,可以這樣考慮, 很簡單,很方便,很可靠。但是在數據量大的時候,程序阻
    發表于 07-21 15:17

    簡談FPGA設計中不同設計方法資源消耗對比

    今天和大俠簡單FPGA設計中不同設計方法硬件資源消耗對比,話不多說,上貨。 在這里,我們使用Verilog HDL 設計計數器,通過兩
    發表于 05-31 17:25

    FPGA內資源設計指導

    電子專業單片機相關知識學習教材資料——FPGA內資源設計指導
    發表于 08-23 15:55 ?0次下載
    亚洲欧美日韩精品久久_久久精品AⅤ无码中文_日本中文字幕有码在线播放_亚洲视频高清不卡在线观看
    <acronym id="s8ci2"><small id="s8ci2"></small></acronym>
    <rt id="s8ci2"></rt><rt id="s8ci2"><optgroup id="s8ci2"></optgroup></rt>
    <acronym id="s8ci2"></acronym>
    <acronym id="s8ci2"><center id="s8ci2"></center></acronym>