<acronym id="s8ci2"><small id="s8ci2"></small></acronym>
<rt id="s8ci2"></rt><rt id="s8ci2"><optgroup id="s8ci2"></optgroup></rt>
<acronym id="s8ci2"></acronym>
<acronym id="s8ci2"><center id="s8ci2"></center></acronym>
0
  • 聊天消息
  • 系統消息
  • 評論與回復
登錄后你可以
  • 下載海量資料
  • 學習在線課程
  • 觀看技術視頻
  • 寫文章/發帖/加入社區
會員中心
創作中心

完善資料讓更多小伙伴認識你,還能領取20積分哦,立即完善>

3天內不再提示

新思科技物理驗證解決方案已獲得臺積公司N3P和N2工藝技術認證

新思科技 ? 來源:新思科技 ? 2024-05-14 10:36 ? 次閱讀

由Synopsys.ai EDA套件賦能可投產的數字和模擬設計流程能夠針對臺積公司N3/N3P和N2工藝,助力實現芯片設計成功,并加速模擬設計遷移。

新思科技物理驗證解決方案已獲得臺積公司N3P和N2工藝技術認證,可加速全芯片物理簽核。

新思科技3DIC Compiler和光子集成電路(PIC)解決方案與臺積公司COUPE技術強強結合,在硅光子技術領域開展合作,能夠進一步提高人工智能(AI)和多裸晶(Multi-Die)設計的系統性能。

新思科技針對臺積公司N2/N2P工藝開發了廣泛的基礎和接口IP產品組合,以及針對臺積公司N3P工藝經過硅驗證的IP,可縮短設計時間并降低集成風險。

f116e3e2-1116-11ef-a297-92fbcf53809c.png

新思科技(Synopsys)近日宣布,攜手臺積公司在先進工藝節點設計開展廣泛的EDA和IP合作,這些合作成果已應用于一系列人工智能(AI)、高性能計算(HPC)和移動設計中。其中雙方的最新合作是共同優化的光子集成電路(PIC)流程,使硅光子技術應用賦能更高功率、性能和晶體管密度的需求。值得一提的是,業界高度認可新思科技的數字和模擬設計流程,這些流程可用于臺積公司N3/N3P和N2工藝技術的生產。目前,兩家公司正在共同開發包括新思科技DSO.ai在內的下一代AI驅動型芯片設計流程,以優化設計并提高芯片設計生產力。新思科技還針對臺積公司N2/N2P工藝開發了廣泛的基礎和接口IP產品組合。此外,新思科技、是德科技(Keysight)與Ansys共同推出了全新的集成射頻RF)設計遷移流程,以實現從臺積公司N16工藝節點至N6RF+工藝節點的遷移。

我們與新思科技等開放創新平臺(OIP)生態系統合作伙伴緊密合作,賦能合作伙伴更好地應對從埃米級器件到復雜的多裸晶芯片系統等一系列高性能計算設計領域中極具挑戰的芯片設計需求,始終屹立于創新的最前沿。臺積公司與新思科技將繼續攜手助力開發者基于臺積公司的先進工藝節點實現下一代差異化設計,并加快成果轉化速度。

Dan Kochpatcharin

設計基礎設施管理部負責人

臺積公司

新思科技在可投產的EDA流程和支持3Dblox標準的3DIC Compiler光子集成方面取得的先進成果,結合我們廣泛的IP產品組合,讓我們與臺積公司能夠幫助開發者基于臺積公司先進工藝加速下一代芯片設計創新。我們與臺積公司數十年的緊密合作建立了深厚的信任,持續為業界提供了至關重要的EDA和IP解決方案,幫助合作伙伴實現跨工藝節點的快速設計遷移,從而大幅提高結果質量和生產力。

Sanjay Bali

EDA事業部戰略與產品管理副總裁

新思科技

針對先進工藝節點的經認證數字和模擬設計流程

新思科技針對臺積公司N3P和N2工藝的可投產數字和模擬設計流程,已被應用于一系列AI、HPC和移動設計領域。該AI驅動的模擬設計遷移流程可實現工藝節點間的快速遷移,在新思科技已有的針對臺積公司N4P至N3E和N3E至N2工藝節點遷移的設計流程基礎上,新增了用于從臺積公司N5至N3E工藝節點的遷移流程。

此外,可互操作工藝設計套件(iPDK)和新思科技IC Validator物理驗證運行集已可供開發者使用,幫助芯片開發團隊高效地將設計遷移至臺積公司的先進工藝技術。新思科技IC Validator支持全芯片物理簽核,以應對日益復雜的物理驗證規則。新思科技IC Validator現已通過臺積公司N2和N3P工藝技術認證。

借助光子集成電路加速多裸晶設計的數據傳輸

AI訓練所需的海量數據處理要求低時延、高能效和高帶寬的互連,這也推動了采用硅光子技術的光學收發器和近/共封裝光學器件的應用。新思科技和臺積公司正在面向臺積公司的緊湊型通用光子引擎(COUPE)技術開發端到端多裸晶電子和光子流程解決方案,以提升系統性能和功能。該流程包括利用新思科技OptoCompiler進行光子集成電路設計,以及利用新思科技3DIC Compiler和Ansys多物理場分析技術進行電子集成電路(EIC)的集成。

利用針對N2和N2P工藝的廣泛IP組合加快產品上市速度

目前,新思科技正在針對臺積公司的N2和N2P工藝技術開發廣泛的基礎和接口IP組合,以助力復雜的AI、HPC和移動SoC應用加速實現流片成功?;贜2和N2P工藝節點的高質量PHY IP,包括UCIe、HBM4/3e、3DIO、PCIe 7.x/6.x、MIPI C/D-PHY和M-PHY、USB、DDR5 MR-DIMM和LPDDR6/5x,開發者能夠受益于臺積公司先進工藝節點上的PPA改進。

此外,新思科技還針對臺積公司N3P工藝技術提供經過硅驗證的基礎和接口IP組合,包括224G以太網、UCIe、MIPI C/D-PHY和M-PHY、USB/DisplayPort和eUSB2、LPDDR5x、DDR5和PCIe 6.x,以及正在開發中的DDR5 MR-DIMM。新思科技針對臺積公司先進工藝節點的IP已被數十家業內領先公司采用,以加快其開發進度。

f184bf02-1116-11ef-a297-92fbcf53809c.png



審核編輯:劉清

聲明:本文內容及配圖由入駐作者撰寫或者入駐合作網站授權轉載。文章觀點僅代表作者本人,不代表電子發燒友網立場。文章及其配圖僅供工程師學習之用,如有內容侵權或者其他違規問題,請聯系本站處理。 舉報投訴
  • 集成電路
    +關注

    關注

    5341

    文章

    10912

    瀏覽量

    355119
  • 芯片設計
    +關注

    關注

    15

    文章

    942

    瀏覽量

    54486
  • 人工智能
    +關注

    關注

    1781

    文章

    44776

    瀏覽量

    231929
  • 新思科技
    +關注

    關注

    5

    文章

    733

    瀏覽量

    50113
  • DDR5
    +關注

    關注

    1

    文章

    391

    瀏覽量

    23893

原文標題:新思科技面向臺積公司先進工藝加速下一代芯片創新

文章出處:【微信號:Synopsys_CN,微信公眾號:新思科技】歡迎添加關注!文章轉載請注明出處。

收藏 人收藏

    評論

    相關推薦

    思科技面向臺積公司先進工藝加速下一代芯片創新

    套件賦能可投產的數字和模擬設計流程能夠針對臺積公司N3/N3PN2工藝,助力實現芯片設計成功,并加速模擬設計遷移。 新思科
    發表于 05-11 11:03 ?170次閱讀
    新<b class='flag-5'>思科</b>技面向臺積<b class='flag-5'>公司</b>先進<b class='flag-5'>工藝</b>加速下一代芯片創新

    Ansys多物理場簽核解決方案獲得英特爾代工認證

    Ansys的多物理場簽核解決方案已經成功獲得英特爾代工(Intel Foundry)的認證,這一認證使得Ansys能夠支持對采用英特爾18A
    的頭像 發表于 03-11 11:25 ?401次閱讀

    思科技于2023臺積公司OIP生態系統論壇上榮獲多項年度合作伙伴大獎

    多個獎項高度認可新思科技在推動先進工藝硅片成功和技術創新領導方面所做出的卓越貢獻 摘要 : 新思科技全新數字與模擬設計流程認證針對臺積
    發表于 11-14 14:18 ?192次閱讀

    思科技攜手合作伙伴開發針對臺積公司N4P工藝的射頻設計參考流程

    (RF)設計和接口IP五項大獎。新思科技與臺積公司長期穩固合作,持續提供經過驗證解決方案,包括由Synopsys.ai全棧式AI驅動型EDA解決方
    的頭像 發表于 11-14 10:31 ?469次閱讀

    思科技攜手臺積公司加速N2工藝下的SoC創新

    思科技近日宣布,其數字和定制/模擬設計流程已通過臺積公司N2工藝技術認證,能夠幫助采用先進工藝
    的頭像 發表于 10-24 16:42 ?568次閱讀

    思科技面向臺積公司N5A工藝技術推出業內領先的廣泛車規級IP組合

    思科技接口和基礎 IP 組合已獲多家全球領先企業采用,可為 ADAS 系統級芯片提供高可靠性保障 摘要: 面向臺積公司N5A工藝的新思科
    發表于 10-23 15:54 ?1102次閱讀

    西門子布宣布與臺積電攜手優化芯片設計過程

    用于集成電路(IC)驗證sign-off的Calibre nmPlatform工具現已獲得臺積電的N2工藝認證,可為早期采用臺積電
    發表于 10-20 12:37 ?162次閱讀

    思科技攜手臺積公司加速2nm工藝創新,為先進SoC設計提供經認證的數字和模擬設計流程

    多個設計流程在臺積公司N2工藝上成功完成測試流片;多款IP產品已進入開發進程,不斷加快產品上市時間 ? 摘要: 新思科技經認證的數字和模擬設
    發表于 10-19 11:44 ?150次閱讀

    思科技3DIC Compiler獲得三星多裸晶芯集成工藝流程的認證

    思科技經認證的多裸晶芯片系統設計參考流程和安全的Die-to-Die IP解決方案,加速了三星SF 5/4/3工藝和I-Cube及X-Cube技術
    的頭像 發表于 09-14 09:38 ?958次閱讀

    ARM Neoverse?N2核心技術參考手冊

    ?n2核僅支持專線連接。 有關直接連接的更多信息,請參閱ARM?Dynamiq?共享單元-110型技術參考手冊。
    發表于 08-29 08:12

    思科技IP成功在臺積公司3nm工藝實現流片

    基于臺積公司N3E工藝技術的新思科技IP能夠為希望降低集成風險并加快首次流片成功的芯片制造商建立競爭優勢
    的頭像 發表于 08-24 17:37 ?759次閱讀

    Arm Neoverse? N2核心加密擴展技術參考手冊

    Neoverse?N2核心支持可選的ARM?v8.0-A和ARM?v8.2-A加密擴展。 ARM?v8.0-A加密擴展為Advanced SIMD添加了A64指令,可加速高級加密標準(AES)加密
    發表于 08-17 07:08

    ARM Neoverse?N2軟件優化指南

    新宇宙? N2是一款高性能、低功耗的產品,采用Arm?v9.0-a架構。此實現支持Arm?v8.6?A之前的所有Armv8-A體系結構實現。
    發表于 08-11 06:47

    Arm Neoverse N2汽車硬件技術概述

    算子系統。RD-N2-Automotive是在RD-N2的基礎上逐步開發的。 本文件必須與Arm NeoverseTM N2參考設計(RD-N2
    發表于 08-10 06:25

    ARM Neoverse N2 PMU指南

    參考ENEPERN2號更詳盡的描述。 關于NEPERVERT N2技術參考手冊, 請參考Armé EVERTM N2 技術參考手冊。本文件
    發表于 08-09 06:07
    亚洲欧美日韩精品久久_久久精品AⅤ无码中文_日本中文字幕有码在线播放_亚洲视频高清不卡在线观看
    <acronym id="s8ci2"><small id="s8ci2"></small></acronym>
    <rt id="s8ci2"></rt><rt id="s8ci2"><optgroup id="s8ci2"></optgroup></rt>
    <acronym id="s8ci2"></acronym>
    <acronym id="s8ci2"><center id="s8ci2"></center></acronym>