<acronym id="s8ci2"><small id="s8ci2"></small></acronym>
<rt id="s8ci2"></rt><rt id="s8ci2"><optgroup id="s8ci2"></optgroup></rt>
<acronym id="s8ci2"></acronym>
<acronym id="s8ci2"><center id="s8ci2"></center></acronym>
0
  • 聊天消息
  • 系統消息
  • 評論與回復
登錄后你可以
  • 下載海量資料
  • 學習在線課程
  • 觀看技術視頻
  • 寫文章/發帖/加入社區
創作中心

完善資料讓更多小伙伴認識你,還能領取20積分哦,立即完善>

3天內不再提示

長川科技引領集成電路裝備創新,邁向智能制造新紀元

SEMIEXPO半導體 ? 來源:SEMIE半導體 ? 2024-05-10 09:48 ? 次閱讀

集成電路產業是全球高科技競爭的焦點之一,隨著科技的快速發展,對于集成電路裝備的需求也在不斷增長。中國作為全球最大的電子產品制造基地,對于集成電路裝備的需求量巨大。此外,隨著國家對于集成電路產業的重視和政策支持,國內集成電路產業的發展迎來了新的機遇,這也為集成電路裝備企業提供了廣闊的市場空間。

01

公司簡介

杭州長川科技股份有限公司成立于2008年4月,是一家致力于提升我國集成電路專用裝備技術水平、積極推動集成電路裝備業升級的高新技術企業。主營產品包括測試機、分選機、探針臺、AOI設備和自動化設備,行業深耕多年,技術水平領先,備受行業認可。公司已于2017年4月17日在深交所創業板掛牌上市(股票代碼300604)。

02

主營產品

一、測試機

1

模擬測試系統

應用領域:應用于信號鏈類,運放、比較器、模擬開關、音頻功放、ADC、DAC;電源類,LED driver、馬達驅動、電源管理、PMIC、BMIC、鋰電池保護、快充芯片、無線充電、等各類模擬電路和數?;旌项愲娐返臏y試。

2

功率器件測試系統

應用領域:適用于MOSFET、IGBT、SiC MOS、GaN FET等功率器件的測試,支持DC、EAS、Rth、RgCg、Trr、SC等全參數測試。

3

數字測試系統

應用領域:適用于數字邏輯芯片、數?;旌闲酒?、微處理器、系統級SoC、射頻芯片、Wi-Fi和Bluetooth芯片的測試。

二、分選機

1

平移式常高溫系列

應用領域:適應各種封裝(2*2~110*110),兼容多檔溫度(室溫~175℃),滿足多樣上下料方式(Tray in/ Tray out,Bowl in/Box out/Tray out/Reel out,Tube in/Tube out)的測試分選。

2

平移式三溫ATC系列

應用領域:適應各種封裝(1*1~110*110),兼容多檔溫度(-55℃~150℃),滿足多樣上下料方式(Tray in/ Tray out,Bowl in/Box out/Tray out,Tube in/Tube out),覆蓋芯片不同功率(0~1550W)的測試分選。

3

SLT系列

應用領域:適應各種封裝(5*5~110*110),兼容多檔溫度(-55℃~150℃),覆蓋芯片不同功率(0~1550W)的系統級測試分選。

4

重力式系列

應用領域:適用于SOP/TSSOP/MSOP/DIP等封裝形式產品常高溫測試分選,具備管裝上料、多站測試、視覺檢測、管裝或編帶出料功能,并支持TO/IPM功率器件類產品常高溫多站串測及多樣化自動分BIN功能。

5

轉塔式系列

應用領域:以轉塔方式支持多種上下料的測試AOI分選機,具備多工位單/并測試能力及6S AOI的視覺檢測功能。采用先進的模塊化設計,具備客制化定制能力及快速切換KIT能力,整體配置靈活多樣。

三、探針臺

應用領域:適應功率、電源、射頻、模擬等各類芯片的三溫測試,兼容8/12寸晶圓,支持超薄晶圓、Bumping晶圓測試。

四、AOI

應用領域:

AOI設備:利用專利的2D/3D視覺檢測系統,用于晶圓、晶粒、封裝后器件外觀缺陷檢測和關鍵尺寸測量等。

光學量測設備:以白光干涉技術為基礎,以納米級能力測量產品的表面粗糙度,晶圓圖案等,廣泛應用于集成電路制造,晶圓,精密加工,PCB,光伏等領域。

五、自動化設備

應用領域:長川高端自動化裝備,可滿足攝像頭模組和指紋模組的外觀檢測分選、點膠貼附、圖像功能測試,同時支持其他非標定制開發需求。



審核編輯:劉清

聲明:本文內容及配圖由入駐作者撰寫或者入駐合作網站授權轉載。文章觀點僅代表作者本人,不代表電子發燒友網立場。文章及其配圖僅供工程師學習之用,如有內容侵權或者其他違規問題,請聯系本站處理。 舉報投訴
  • 集成電路
    +關注

    關注

    5324

    文章

    10791

    瀏覽量

    353842
  • 晶圓
    +關注

    關注

    52

    文章

    4562

    瀏覽量

    126523
  • DIP封裝
    +關注

    關注

    1

    文章

    40

    瀏覽量

    13542
  • 智能制造
    +關注

    關注

    48

    文章

    5131

    瀏覽量

    75592
  • 長川科技
    +關注

    關注

    0

    文章

    8

    瀏覽量

    3275

原文標題:長川科技引領集成電路裝備創新,邁向智能制造新紀元

文章出處:【微信號:Smart6500781,微信公眾號:SEMIEXPO半導體】歡迎添加關注!文章轉載請注明出處。

收藏 人收藏

    評論

    相關推薦

    一圖看懂2024華為智能充電網絡開啟超充新紀元

    一圖看懂2024華為智能充電網絡開啟超充新紀元
    的頭像 發表于 04-29 10:27 ?197次閱讀
    一圖看懂2024華為<b class='flag-5'>智能</b>充電網絡開啟超充<b class='flag-5'>新紀元</b>

    專用集成電路 通用集成電路有哪些

    開始。專用集成電路是一種定制的電路,根據特定應用的需求而設計和制造。它的設計可以包括數字電路、模擬電路或二者的結合
    的頭像 發表于 04-14 10:41 ?199次閱讀

    智能通信新紀元下的訊維融合通信系統:探索與展望

    智能通信新紀元下,訊維融合通信系統以其獨特的功能和優勢,正逐漸成為行業引領者和創新先鋒。該系統不僅集成了先進的通信技術,還融入了
    的頭像 發表于 04-10 16:33 ?143次閱讀

    高通重磅發布第三代驍龍7+,引領AI與性能新紀元

    近日,科技界掀起一陣狂潮,高通技術公司盛大發布第三代驍龍7+移動平臺,此舉不僅將終端側生成式AI技術首次引入驍龍7系,更在性能上實現飛躍,CPU性能飆升15%,GPU性能更是驚人提升45%。這一革命性的移動平臺,無疑將引領智能手機行業進入全新的AI與性能
    的頭像 發表于 03-25 09:46 ?423次閱讀

    揭秘集成電路制造的“黑科技”:三束技術的力量

    集成電路作為現代電子技術的核心,其制造水平直接關系到電子產品的性能和可靠性。隨著摩爾定律的推進,集成電路的特征尺寸不斷縮小,制造工藝日趨復雜。在這一背景下,三束技術作為高精度、高效率的
    的頭像 發表于 02-20 09:58 ?368次閱讀
    揭秘<b class='flag-5'>集成電路</b><b class='flag-5'>制造</b>的“黑科技”:三束技術的力量

    集成電路制造的起源和發展

    摩爾定律的提出也推動了集成電路制造的快速發展。這一定律指出,集成電路中的晶體管數量每隔一段時間便會翻倍,促進了芯片尺寸的不斷縮小和性能的不斷提升。
    發表于 01-10 16:58 ?694次閱讀
    <b class='flag-5'>集成電路</b><b class='flag-5'>制造</b>的起源和發展

    AI的突破與融合:2024年中國智能技術的新紀元

    隨著人工智能領域的不斷突破,2024年注定將成為中國智能技術發展的一個新紀元。當下,AI技術不僅在理論研究上取得了重大進展,其在商業應用、社會服務等領域的融合也日益深入。本文將結合近期網絡上的AI熱點,展望中國在AI技術方面的發
    的頭像 發表于 01-03 15:41 ?302次閱讀
    AI的突破與融合:2024年中國<b class='flag-5'>智能</b>技術的<b class='flag-5'>新紀元</b>

    國產EDA“夾縫”生存 集成電路設計和制造流程

    EDA有著“芯片之母”稱號,一個完整的集成電路設計和制造流程主要包括工藝平臺開發、集成電路設計和集成電路制造三個階段,三個設計與
    發表于 09-28 14:31 ?980次閱讀
    國產EDA“夾縫”生存 <b class='flag-5'>集成電路</b>設計和<b class='flag-5'>制造</b>流程

    萊普科技超16億元全國總部暨集成電路裝備研發制造基地簽約成都

    萊普科技全國總公司及集成電路裝備研發制造基地項目總投資16億元,在成都高新區建設萊普科技全國總部、技術中心、制造及國產核心零部件開發及產業化基地等設施中國產核心零部件的開發及產業化基地
    的頭像 發表于 09-08 14:50 ?791次閱讀

    集成電路的核心是什么?集成電路有哪些器件?

    集成電路的核心是什么?集成電路有哪些器件? 集成電路的核心是晶體管,這是一種半導體材料制成的器件,可用于控制電流。集成電路是應用集成電路
    的頭像 發表于 08-29 16:14 ?2425次閱讀

    大模型應用:激發芯片設計新紀元

    的支持。蓬勃發展的大模型應用所帶來的特殊性需求,正推動芯片設計行業邁向新紀元。眾多頂級的半導體廠商紛紛為大模型應用而專門構建 AI 芯片,其高算力、高帶寬、動輒千億的晶體管數量成為大芯片的標配。 芯片設計復雜度,邁向新高峰 在人
    的頭像 發表于 08-15 11:02 ?912次閱讀
    大模型應用:激發芯片設計<b class='flag-5'>新紀元</b>

    什么是集成電路?

    或從大件到小件的減少將為當前和未來的任何創新提供信息。但什么是集成電路呢?它在電子領域的作用是什么? 集成電路 (IC ) 集成電路的近代歷史可以追溯到真空管。真空管在早期的電子設備和
    發表于 08-01 11:23

    集成電路制造的發展歷程

    廣義的集成電路制造主要包括設計、制造和封裝(含測試)三個方面。?
    的頭像 發表于 07-18 09:50 ?1434次閱讀
    <b class='flag-5'>集成電路</b><b class='flag-5'>制造</b>的發展歷程

    集成電路制造裝備用精密陶瓷結構件的特點及產業格局

    集成電路產業(即IC產業)是關乎國家經濟、政治和國防安全的戰略產業,在IC產業中,集成電路制造裝備具有極其重要的戰略地位。
    的頭像 發表于 07-14 15:21 ?912次閱讀

    集成MEMS微振鏡的3D相機,讓智能裝備看得“清”

    當前,在集成電路行業不斷發展的背景下,傳統的集成電路無法持續地滿足終端領域日益變化的需求,而基于集成電路的MEMS芯片技術則具有更多更強的性能,如,高精度測量、微型化、智能化、輕量化等
    的頭像 發表于 05-22 09:21 ?2793次閱讀
    <b class='flag-5'>集成</b>MEMS微振鏡的3D相機,讓<b class='flag-5'>智能</b><b class='flag-5'>裝備</b>看得“清”
    亚洲欧美日韩精品久久_久久精品AⅤ无码中文_日本中文字幕有码在线播放_亚洲视频高清不卡在线观看
    <acronym id="s8ci2"><small id="s8ci2"></small></acronym>
    <rt id="s8ci2"></rt><rt id="s8ci2"><optgroup id="s8ci2"></optgroup></rt>
    <acronym id="s8ci2"></acronym>
    <acronym id="s8ci2"><center id="s8ci2"></center></acronym>