<acronym id="s8ci2"><small id="s8ci2"></small></acronym>
<rt id="s8ci2"></rt><rt id="s8ci2"><optgroup id="s8ci2"></optgroup></rt>
<acronym id="s8ci2"></acronym>
<acronym id="s8ci2"><center id="s8ci2"></center></acronym>
0
  • 聊天消息
  • 系統消息
  • 評論與回復
登錄后你可以
  • 下載海量資料
  • 學習在線課程
  • 觀看技術視頻
  • 寫文章/發帖/加入社區
創作中心

完善資料讓更多小伙伴認識你,還能領取20積分哦,立即完善>

3天內不再提示

如何在AMD Vivado? Design Tool中用工程模式使用DFX流程?

XILINX開發者社區 ? 來源:XILINX開發者社區 ? 2024-04-17 09:28 ? 次閱讀

本文介紹了在 AMD VivadoDesign Tool中用工程模式使用 DFX 流程以及需要注意的地方。在使用 DFX 工程模式的過程中要把具體步驟映射到相應的 DFX 非工程模式的步驟,這樣才能更好地理解整個流程的運行邏輯。

1. 創建初始普通工程

DFX 工程模式會將 DFX 的要求自動嵌入到 Vivdao 流程中,后續用戶可以在 Vivado IDE 中訪問該工程。

所有靜態邏輯和各個 RM(Reconfigurable Module)的源文件,各個 Configuration 的輸出文件都會都被自動管理,而在 DFX 非工程模式中這個文件都需要用戶自行管理。

DFX 模式中創建工程的流程和普通設計沒有區別,用戶需要把靜態部分的源文件和約束文件加入到新建的工程中。

Intitial Configuration 的 RM 所包含的源文件和約束文件可以在此時加入工程,也可以在后續步驟中加入到當前的工程中。如果此時不加入 Intitial Configuration 的 RM 的相關文件,可以暫時將此 RM 作為黑盒處理。在這里我們一般選擇同時把 Intitial Configuration 的 RM 所包含的源文件和約束文件到加入工程中。

2. 將初始普通工程設置成 DFX 工程

建成初始普通工程后,建議把工程通過以下任一的方法做好備份。

1. File -> Project -> Archive

2. 在 TCL CONSOLE 執行 "write_project_tcl XX.tcl" 獲得重建工程所需的 TCL 腳本。通過主菜單 Tools -> Enable Dynamic Function eXchange 將初始普通工程設置成 DFX 工程。

注意:這個操作一旦執行不可逆轉, 不可撤銷。

8aab5990-fbee-11ee-a297-92fbcf53809c.png

3. 創建分區定義(Create Partition Definition)

這步操作對應非工程模式中創建 RP(Reconfigurable Partitions)的步驟, 對應的模塊的 HD.REGUFIGURABLE 屬性被自動被設置成 True。

當把普通工程轉成 DFX 工程之后,可以從 RTL Source/Hierarchy 窗口中選擇一個文件(對應的 Instance)作為 RP。

注意:動態頂層文件不能是 IP,DCP 或者 EDIF。如果暫時沒有動態部分的邏輯,這里允許選擇黑盒文件。(在后續的 opt_design 步驟之前,需要用網表或者灰盒填充這個黑盒)。

8ac2aaa0-fbee-11ee-a297-92fbcf53809c.png

在這里的例子中,同一個 Module 被例化了兩次,不管是哪一個 Instance 被定義成 Partition, 這兩個 Instance 都會變成 RP。如果實際只需要一個 Module 作 RP,那么需要手動修改其中一個 Module 的名字,使他們相互不同。

在彈窗"Create Partition Name"中分別給 Partition Definition 和 Reconfiguratble Module 命名后,Source 窗口會相應改變: 黃色菱形代表的模塊即是 Partition Definition。

8af4c3e6-fbee-11ee-a297-92fbcf53809c.png8b1323f4-fbee-11ee-a297-92fbcf53809c.png

在非工程模式中靜態部分和動態部分是分開綜合再 Link 到一起。在非工程模式中,工具會自動對 RP 模塊進行 OOC 綜合后合并到頂層,和在非工程模式的原理一致。在這里要注意動態區邏輯和靜態區邏輯的綜合依然是保持相互獨立。

4. 按照 DFX Wizard 的引導完成 DFX 工程的設置

1. 在工具菜單或者 Flow Navigator 中啟動 DFX Wizard。

8b32b9c6-fbee-11ee-a297-92fbcf53809c.png

2. 點擊 Next 進入 Edit Reconfigurable Modules 頁面。

這里可以看到之前加的 RM shift_right 已經存在了。藍色的+、-和鉛筆按鈕分別對應增加、刪除和修改 RM 源文件的操作。

3. 點擊+號可以創建一個新的 RM,點擊“Add Files"或者"Add Directories”。

8b3f7986-fbee-11ee-a297-92fbcf53809c.png8b65a9f8-fbee-11ee-a297-92fbcf53809c.png

4. 點擊 Next,進入"Edit Configurations"頁面。

點擊+號添加Configuration,也可以直接點擊"automatically create configurations"讓工具自動生成 Configuration。這里我們直接讓工具自動產生 Configuration,然后我們可以按照我們自己的需求在這基礎上進行修改。

8b8e135c-fbee-11ee-a297-92fbcf53809c.png

5. 點擊 Next,進入"Edit Configuration Runs" 頁面,把這些 Configuration 和直接的 Run 掛鉤。

和上一個頁面相似,點擊+號可以添加 Runs,這里我們直接點擊"Standard DFX", 工具會自動產生 Configuration Runs。

8bae750c-fbee-11ee-a297-92fbcf53809c.png

然后我們可以在這基礎上進行修改, 按需增減 Configuration Runs;同時通過下拉菜單設置每個 Run 的 Run strategy 和 Report Strategy。

8bc822ae-fbee-11ee-a297-92fbcf53809c.png

在此頁面上點擊黃色鉆石符號,可以顯示每個 Configuration Run 中的 RP 所包含 RM 的名字。

8bde6762-fbee-11ee-a297-92fbcf53809c.png

6. 點擊 Next 進入 Summary 頁面。

可以看到當次創建的RM,Configuration 以及 Configuration Runs 的數目。

點擊“Finish”退出 DFX Wizard。

8bfde0d8-fbee-11ee-a297-92fbcf53809c.png ? ? ?

5. 查看各個 RM 所包含的源文件

在 Source 窗口,Partition Definitions 中依次展開 RP, RM 可以看到每個 RM 中包含的源文件。

8c14d414-fbee-11ee-a297-92fbcf53809c.png

6. 在 Deisgn Runs 或者 Flow Naviagator 中啟動 Runs 的運行,直至生成比特流文件

8c3e062c-fbee-11ee-a297-92fbcf53809c.png

Child Impl run 會使用和其 Parent Impl run 相同的靜態邏輯。

完成 Implementation 后,工具會自動執行 Pr_verify 命令驗證這些 Run 之間邊界邏輯的一致性。

7. 在各個 Run 的目錄下,可以找到各自的 Full bit 和 Partial bit

8c507168-fbee-11ee-a297-92fbcf53809c.png



審核編輯:劉清

聲明:本文內容及配圖由入駐作者撰寫或者入駐合作網站授權轉載。文章觀點僅代表作者本人,不代表電子發燒友網立場。文章及其配圖僅供工程師學習之用,如有內容侵權或者其他違規問題,請聯系本站處理。 舉報投訴
  • TCL
    TCL
    +關注

    關注

    10

    文章

    1668

    瀏覽量

    87995
  • RTL
    RTL
    +關注

    關注

    1

    文章

    379

    瀏覽量

    59126
  • Vivado
    +關注

    關注

    18

    文章

    790

    瀏覽量

    65270

原文標題:開發者分享|如何在 AMD Vivado? Design Tool 中用工程模式使用 DFX 流程

文章出處:【微信號:gh_2d1c7e2d540e,微信公眾號:XILINX開發者社區】歡迎添加關注!文章轉載請注明出處。

收藏 人收藏

    評論

    相關推薦

    Vivado工程模式和非工程模式的比較

    01. Vivado的兩種工作模式 Vivado設計有工程和非工程兩種模式: 1.
    的頭像 發表于 11-09 17:15 ?4010次閱讀
    <b class='flag-5'>Vivado</b><b class='flag-5'>工程模式</b>和非<b class='flag-5'>工程模式</b>的比較

    AMD】Physical Design Engineer

    AMD超威半導體上海研發中心招聘 Physical Design Engineer,請有意向者將簡歷發送到 Cherry.Zhang@amd.com 以及
    發表于 02-28 17:24

    AMD】Physical Design Engineer

    AMD上海研發中心熱招Senior /MTS Engineer of Physical Design,請感興趣的候選人把簡歷以附件形式發送到Maggie1.Zhang@amd.com 以及
    發表于 03-13 16:45

    Vivado圖形化界面IDE中運行和調試Tcl命令

    ,Vivado工具可自動管理設計流程和設計數據,各種數據都比較直觀。非工程模式是一直內存編譯流程,所有步驟都需要開發者手動編輯腳本,命令和參數,這樣的
    發表于 06-17 14:52

    用 TCL 定制 Vivado 設計實現流程

    工程模式下對應的Tcl 命令。右圖所示是 Vivado 中設計實現的基本流程,藍色部分表示實現的基本 步驟(盡管opt_design 這一步理論上不是必選項,但仍強烈建議用戶執行),
    發表于 06-28 19:34

    液晶電視工程模式

    東芝液晶電視工程模式的常用功能(4:3電視不適用): 開機狀態下,按一次遙控器的Mute鍵(靜音鍵),然后按住遙控器的Mute鍵的同時按電視機的Menu鍵,即可進入工程菜單
    發表于 05-14 17:07 ?2w次閱讀

    何在Vivado中執行工程變更命令 (ECO)

    了解如何在Vivado中執行工程變更單(ECO)。 本視頻將向您介紹ECO的常見用例,我們推薦的完成ECO的流程,優勢和局限性,并將演示功能設計的ECO。
    的頭像 發表于 11-21 06:40 ?4757次閱讀
    如<b class='flag-5'>何在</b><b class='flag-5'>Vivado</b>中執行<b class='flag-5'>工程</b>變更命令 (ECO)

    何在Vivado Design Suite 中進行IP加密

    此視頻概述了Vivado Design Suite中的IP加密。 它涵蓋了IP加密工具流程,如何準備加密IP以及如何在Vivado中運行加
    的頭像 發表于 11-20 06:34 ?6054次閱讀

    何在Vivado中應用物理優化獲得更好的設計性能

    物理優化是Vivado實現流程中更快時序收斂的重要組成部分。 了解如何在Vivado中應用此功能以交換運行時以獲得更好的設計性能。
    的頭像 發表于 11-23 06:06 ?3780次閱讀

    用Tcl定制Vivado設計流程詳解

    工程模式的關鍵優勢在于可以通過在Vivado 中創建工程的方式管理整個設計流程,包括工程文件的位置、階段性關鍵報告的生成、重要數據的輸出和存
    的頭像 發表于 07-24 17:30 ?4284次閱讀
    用Tcl定制<b class='flag-5'>Vivado</b>設計<b class='flag-5'>流程</b>詳解

    淺析Vivado在非工程模式下的FPGA設計流程

    參考:UG892 UG835 Vivado集成開發工具為設計者提供了非工程模式下的FPGA設計流程。在Vivado工程模式下,FPGA開發
    的頭像 發表于 06-19 10:52 ?2299次閱讀
    淺析<b class='flag-5'>Vivado</b>在非<b class='flag-5'>工程模式</b>下的FPGA設計<b class='flag-5'>流程</b>

    關于Vivado non-project模式

    vivado有project模式和non-project模式,project模式就是我們常用的方式,在vivado里面新建
    的頭像 發表于 10-17 10:09 ?2362次閱讀

    Vivado Design Suite 用戶指南介紹

    DFX 是由多個部分組成的綜合性解決方案。這些要素包括:AMD 芯片能進行動態重配置,Vivado 軟件流程支持編譯設計(從 RTL 到比特流),以及各種補充性功能特性(如 IP)。
    發表于 05-18 09:47 ?551次閱讀
    <b class='flag-5'>Vivado</b> <b class='flag-5'>Design</b> Suite 用戶指南介紹

    vivado創建工程流程

    vivado工程創建流程對于大部分初學者而言比較復雜,下面將通過這篇博客來講解詳細的vivado工程創建
    的頭像 發表于 07-12 09:26 ?1413次閱讀
    <b class='flag-5'>vivado</b>創建<b class='flag-5'>工程</b><b class='flag-5'>流程</b>

    深入探索Vivado工程模式FPGA設計流程

    在設計過程的每個階段,設計者均可以打開Vivado集成開發環境,對存儲器中保存的當前設計進行分析和操作。
    發表于 04-03 09:36 ?141次閱讀
    深入探索<b class='flag-5'>Vivado</b>非<b class='flag-5'>工程模式</b>FPGA設計<b class='flag-5'>流程</b>
    亚洲欧美日韩精品久久_久久精品AⅤ无码中文_日本中文字幕有码在线播放_亚洲视频高清不卡在线观看
    <acronym id="s8ci2"><small id="s8ci2"></small></acronym>
    <rt id="s8ci2"></rt><rt id="s8ci2"><optgroup id="s8ci2"></optgroup></rt>
    <acronym id="s8ci2"></acronym>
    <acronym id="s8ci2"><center id="s8ci2"></center></acronym>