<acronym id="s8ci2"><small id="s8ci2"></small></acronym>
<rt id="s8ci2"></rt><rt id="s8ci2"><optgroup id="s8ci2"></optgroup></rt>
<acronym id="s8ci2"></acronym>
<acronym id="s8ci2"><center id="s8ci2"></center></acronym>
0
  • 聊天消息
  • 系統消息
  • 評論與回復
登錄后你可以
  • 下載海量資料
  • 學習在線課程
  • 觀看技術視頻
  • 寫文章/發帖/加入社區
創作中心

完善資料讓更多小伙伴認識你,還能領取20積分哦,立即完善>

3天內不再提示

哪些因此會導致時鐘skew過大呢?FPGA中降低時鐘skew的幾種方法

傅里葉的貓 ? 來源:傅里葉的貓 ? 2024-03-13 09:06 ? 次閱讀

本文參考自高亞軍老師的《AMD FPGA設計優化寶典》

首先哪些因此會導致時鐘skew過大呢?

不合理的時鐘結構

時鐘同時驅動I/O資源和Slice資源

時鐘跨die

在時序報告中,會顯示出clock path skew,如果時鐘偏移超過0.5ns,就需要額外關注了。

2f6293d4-e0d5-11ee-a297-92fbcf53809c.jpg

書中列舉了幾個優化skew的方法:

移除時鐘路徑上多余的時鐘buffer,如果出現了級聯時鐘Buffer,勢必會導致時鐘路徑上的延遲增大。

移除時鐘路徑上的組合邏輯,一旦時鐘路徑上出現了組合邏輯,就意味著時鐘布線采用了“常規布線資源+專用布線資源”的組合形式,從而顯著增大了時鐘延遲且時鐘skew無法預測。同時,相比于專用時鐘布線資源,常規布線資源對噪聲更加敏感,這會使時鐘質量下降。

輸入時鐘從clock capable的管腳輸入,否則還是會出現“常規布線資源+專用布線資源”的組合形式。

如果設計中出現并行的MMCM/PLL時,應合理設置CLOCK_DEDICATED_ROUTE的值。

2f76d560-e0d5-11ee-a297-92fbcf53809c.png

如果BUFGCE和兩個MMCM位于同列相鄰的時鐘區域,那么應為約束為SAME_CMT_COLUMN或BACKBONE,同時將MMCM的位置固定下來:

#FORUltraScale/UltraScale+
set_propertyCLOCK_DEDICATED_ROUTESAME_CMT_COLUMN[get_nets-of[get_pinsBUFG_inst/O]]
#FOR7series
set_propertyCLOCK_DEDICATED_ROUTEBACKBONE[get_nets-of[get_pinsBUFG_inst/O]]
set_propertyLOCMMCM3_ADV_X1Y2[get_cellsMMCM3_ADV_inst_0]
set_propertyLOCMMCM3_ADV_X1Y0[get_cellsMMCM3_ADV_inst_1]
2f916100-e0d5-11ee-a297-92fbcf53809c.png

如果BUFGCE和兩個MMCM位于不同列但相鄰的時鐘區域,那么應該設為ANY_CMT_COLUMN和FALSE。

#FORUltraScale/UltraScale+
set_propertyCLOCK_DEDICATED_ROUTEANY_CMT_COLUMN[get_nets-of[get_pinsBUFG_inst/O]]
#FOR7series
set_propertyCLOCK_DEDICATED_ROUTEFALSE[get_nets-of[get_pinsBUFG_inst/O]]
set_propertyLOCMMCM3_ADV_X1Y2[get_cellsMMCM3_ADV_inst_0]
set_propertyLOCMMCM3_ADV_X1Y0[get_cellsMMCM3_ADV_inst_1]
2fa77c74-e0d5-11ee-a297-92fbcf53809c.png

對于7系列FPGA,避免使用BUFIO/BUFR/BUFH來驅動分散在不同時鐘域內的邏輯。要評估設計中區域時鐘緩沖器負載的個數,以保證這些負載可以被放置在一個時鐘區域內。

對于UltraScale/UltraScale+和Versal的FPGA,避免使用MMCM/PLL對來自BUFG_GT的輸出時鐘執行簡單的分頻,可以使用BUFGCE_DIV、MBUFG這些帶有分頻功能的時鐘BUFFER來代替。

對于UltraScale/UltraScale+和Versal的FPGA,對關鍵的同步跨時鐘域路徑添加CLOCK_DELAY_GROUP約束。什么是同步跨時鐘域路徑呢,比如MMCM輸出的兩個同步時鐘。

對于UltraScale/UltraScale+的FPGA,修改時鐘根節點的位置。Vivado在布局階段會自動給每個時鐘分配根節點的位置,以獲取最佳的時序性能。通常情況下,時鐘根節點位于其驅動負載的中心位置,如果不是,可以使用USER_CLOCK_ROOT調整。

避免關鍵路徑穿越SLR或輸入輸出列。

對于UltraScale/UltraScale+的FPGA,使用CLOCK_LOW_FANOUT屬性使時鐘網線驅動的負載位于同一個時鐘區域內。



審核編輯:劉清
聲明:本文內容及配圖由入駐作者撰寫或者入駐合作網站授權轉載。文章觀點僅代表作者本人,不代表電子發燒友網立場。文章及其配圖僅供工程師學習之用,如有內容侵權或者其他違規問題,請聯系本站處理。 舉報投訴
  • FPGA
    +關注

    關注

    1604

    文章

    21341

    瀏覽量

    594125
  • 時鐘緩沖器
    +關注

    關注

    2

    文章

    84

    瀏覽量

    50713
  • Vivado
    +關注

    關注

    18

    文章

    791

    瀏覽量

    65298

原文標題:FPGA中降低時鐘skew的幾種方法

文章出處:【微信號:傅里葉的貓,微信公眾號:傅里葉的貓】歡迎添加關注!文章轉載請注明出處。

收藏 人收藏

    評論

    相關推薦

    FPGA的設計中的時鐘使能電路

    時鐘使能電路是同步設計的重要基本電路,在很多設計中,雖然內部不同模塊的處理速度不同,但是由于這些時鐘是同源的,可以將它們轉化為單一的時鐘電路處理。在FPGA的設計中,分頻
    的頭像 發表于 11-10 13:53 ?4942次閱讀
    <b class='flag-5'>FPGA</b>的設計中的<b class='flag-5'>時鐘</b>使能電路

    FPGA時序約束之Skew講解

    針對第2章節時序路徑中用到skew,在本章再仔細講解一下。
    發表于 08-14 17:50 ?688次閱讀
    <b class='flag-5'>FPGA</b>時序約束之<b class='flag-5'>Skew</b>講解

    時鐘使能電路的設計

    在很多設計,雖然內部不同模塊的處理速度不同,但是由于這些時鐘是同源的,可以將它們轉化為單一的時鐘電路處理。在FPGA的設計,分頻
    發表于 01-08 09:06

    如何減小clock skew?

    求助大神,clock skew太大,導致時序違規怎么破?時鐘由DCM輸出,已經過BUFG
    發表于 01-14 17:00

    時鐘偏差的定義以及它對現代系統的影響

    顯著降低。為了最大限度地減少clock skew,復雜的同步電路采用類似于圖 5 所示的時鐘分配網絡。這些通常也稱為時鐘樹。
    發表于 11-02 14:32

    Skew definitions

    Skew specifications are like any other ACelectrical specification. The measurementsare taken
    發表于 03-30 00:18 ?15次下載

    Skew Correction Using Delay Li

    Abstract: This application note describes using delay lines to correct system timing or "skew
    發表于 04-22 11:21 ?1194次閱讀
    <b class='flag-5'>Skew</b> Correction Using Delay Li

    FPGA界最常用也最實用的3種跨時鐘域處理的方法

    介紹3種跨時鐘域處理的方法,這3種方法可以說是FPGA界最常用也最實用的方法,這三種方法包含了單
    發表于 11-15 20:08 ?1.3w次閱讀

    時序分析之useful skew的作用分析

    對于positive skew來說,它可以減少T的時間,相當于提升芯片的performace。但是它的hold時間會變得更加難以滿足對于negative skew來說,它的hold時間更加容易滿足,取而代之的是,它會降低芯片的性
    的頭像 發表于 07-23 17:15 ?1.6w次閱讀
    時序分析之useful <b class='flag-5'>skew</b>的作用分析

    揭秘FPGA時鐘域處理的三大方法

    時鐘域處理的方法,這三種方法可以說是 FPGA 界最常用也最實用的方法,這三種方法包含了單 b
    的頭像 發表于 12-05 16:41 ?1387次閱讀

    同步電路設計中CLOCK SKEW的分析說明

    Clock shew是數字集成電路設計中一個重要的因素。本文比較了在同步電路設計中0clock shew和非0clock shew時鐘分布對電路性能的影響,分析了通過調整時鐘樹中CLOCK SKEW來改善電路性能的
    發表于 01-14 16:26 ?21次下載
    同步電路設計中CLOCK <b class='flag-5'>SKEW</b>的分析說明

    介紹3種方法時鐘域處理方法

    介紹3種跨時鐘域處理的方法,這3種方法可以說是FPGA界最常用也最實用的方法,這三種方法包含了單
    的頭像 發表于 09-18 11:33 ?2.2w次閱讀
    介紹3<b class='flag-5'>種方法</b>跨<b class='flag-5'>時鐘</b>域處理<b class='flag-5'>方法</b>

    verilog的時鐘分頻與時鐘使能

    ,但 FPGA 由于器件本身和工具的限制,分頻時鐘和源時鐘Skew不容易控制(使用鎖相環分頻是個例外),難以保證分頻時鐘和源
    的頭像 發表于 01-05 14:00 ?1057次閱讀

    時鐘樹綜合CTS階段如何去降低Latency和Skew

    對于時鐘樹綜合,各位后端工程師應該都很熟悉,做好一個模塊/一個chip的時鐘樹,對整個項目 的功耗和Timing影響都是巨大的。
    的頭像 發表于 05-22 09:38 ?2057次閱讀
    <b class='flag-5'>時鐘</b>樹綜合CTS階段如何去<b class='flag-5'>降低</b>Latency和<b class='flag-5'>Skew</b>

    CTS時鐘樹綜合對uncertainty的影響

    時鐘電路的設計中,存在 jitter 和 skew 問題。
    的頭像 發表于 06-26 16:49 ?1273次閱讀
    CTS<b class='flag-5'>時鐘</b>樹綜合對uncertainty的影響
    亚洲欧美日韩精品久久_久久精品AⅤ无码中文_日本中文字幕有码在线播放_亚洲视频高清不卡在线观看
    <acronym id="s8ci2"><small id="s8ci2"></small></acronym>
    <rt id="s8ci2"></rt><rt id="s8ci2"><optgroup id="s8ci2"></optgroup></rt>
    <acronym id="s8ci2"></acronym>
    <acronym id="s8ci2"><center id="s8ci2"></center></acronym>