<acronym id="s8ci2"><small id="s8ci2"></small></acronym>
<rt id="s8ci2"></rt><rt id="s8ci2"><optgroup id="s8ci2"></optgroup></rt>
<acronym id="s8ci2"></acronym>
<acronym id="s8ci2"><center id="s8ci2"></center></acronym>
0
  • 聊天消息
  • 系統消息
  • 評論與回復
登錄后你可以
  • 下載海量資料
  • 學習在線課程
  • 觀看技術視頻
  • 寫文章/發帖/加入社區
創作中心

完善資料讓更多小伙伴認識你,還能領取20積分哦,立即完善>

3天內不再提示

FPGA實現IIC協議的設計

FPGA研究院 ? 來源:FPGA之旅 ? 2024-03-04 10:49 ? 次閱讀

一. 簡介

今天給大家帶來的是IIC通信,IIC協議應用非常廣泛,例如與MPU6050進行通信,配置OV5640攝像頭、驅動OLED屏幕等等,都需要使用到IIC協議,所以掌握它是非常必要的,廢話不多說,接著往下看。

二. IIC簡介

IIC協議分為主機和從機,所有的請求都是由主機發出,從機進行響應,從機是沒有辦法對主機進行讀或寫的。IIC協議共有兩根線,數據線SDA和時鐘線SCL,兩根線就可以完成所有的通信請求,簡直是太給力了。

三. IIC協議

終于到了IIC協議的部分。IIC協議簡單來說,共有五種狀態,這五種狀態的有序組合就組成了完整的IIC通信,學習IIC協議,就是學習這五種狀態。

空閑態: SCL 和 SDA 都為高電平,不進行通信的時候。

起始態:在SCL為高電平的時候,將SDA拉低,主機通知從機,開始進行通信。

數據傳輸態:數據傳輸態,又可以分為讀和寫兩個部分,過程都是一樣的,就合在一起了,都是在SCL為低電平的時候,SDA將數據發送,在SCL為高電平的時候,將數據接收。

(非)應答態:數據傳輸態完成后,必須接一個應答態或者非應答態,為了確定對方接收到了數據。在SCL為高電平的時候,檢測到SDA為低電平,則為應答,否則為非應答。

停止態:一次數據傳輸完成,由主機發起,在SCL為高電平的時候,SDA由低電平變成高電平。

了解了這五種狀態后,接下來就要學習如何使用這五種狀態來進行讀寫操作了。

(一) IIC寫操作

下面就是一個完整的寫操作,共包含三次數據傳輸態,第一次發送的是從機地址 + 0,第二次發送的是寄存器的地址,第三次寫的是數據,寫入寄存器中的數據。從機地址一般為7bit,與另外一bit共同組成8bit,0表示寫,1表示讀。

d0070a8e-d826-11ee-a297-92fbcf53809c.png

d020de8c-d826-11ee-a297-92fbcf53809c.png

(二)IIC讀操作

讀操作要比寫操作復雜一點,需要的狀態多一些。一共有五個數據傳輸態,狀態圖如下了。

d024b264-d826-11ee-a297-92fbcf53809c.png

d039cce4-d826-11ee-a297-92fbcf53809c.png

上面的流程圖都是對從機的地址為7位以及從機的寄存器地址為8位的操作。

四. Verilog代碼實現

有了上面的各個狀態中,SDA和SCL的變換關系,以及讀寫的序列,就可以很方便的來寫程序啦。

1. 首先,當然離不開狀態機,根據上面敘述的五種狀態,編寫狀態機,狀態機中,將數據傳輸態分成了讀和寫兩種狀態。有了各個狀態,操作SDA和SCL兩根線不是易如反掌嘛!

/*IIC 狀態*/
localparam IIC_IDLE       =   6'b000_001;  /*空閑態*/
localparam IIC_START      =   6'b000_010;  /*起始態*/
localparam IIC_WRDATA     =   6'b000_100;  /*寫數據態*/
localparam IIC_RDDATA     =   6'b001_000;  /*讀數據態*/
localparam IIC_ACK        =   6'b010_000;  /*應答態*/
localparam IIC_STOP       =   6'b100_000;  /*停止態*/

2.狀態機的跳轉條件如下,跳轉條件和上面敘述的一樣。單獨看這個有點難懂,有些變量不明白其具體含義,可以結和仿真圖形和完整代碼進行理解。

/*狀態機*/
always @(*)
begin
case(state)
IIC_IDLE: 
if(IICWriteReq == 1'b1 || IICReadReq == 1'b1)
next_state <= IIC_START;
else
next_state <= IIC_IDLE;
IIC_START:
if(IICCnt == (IIC_Pre * 'd2))
next_state <= IIC_WRDATA;
else
next_state <= IIC_START;
IIC_WRDATA:
if(IICBitCnt == 'd8 && IICCnt == IIC_Pre /4 && iicCLK == 1'b0)
next_state <= IIC_ACK;
else
next_state <= IIC_WRDATA;
IIC_RDDATA:
if(IICBitCnt == 'd8 && IICCnt == IIC_Pre /4 && iicCLK == 1'b0)
next_state <= IIC_ACK;
else
next_state <= IIC_RDDATA;
IIC_ACK:
if(IICACKStopCnt == 'd1 && IICCnt == IIC_Pre /4 && iicCLK == 1'b0)
if(IICSendBytes == 'd3) 
if(IICWriteReq == 1'b1)         /*三個字節發送完成,進入停止態*/
next_state <= IIC_STOP;
else 
next_state <= IIC_RDDATA;
else if(IICSendBytes == 'd2 && IICReadReq == 1'b1)
next_state <= IIC_START;
else if(IICSendBytes == 'd4)
next_state <= IIC_STOP;
else
next_state <= IIC_WRDATA;
else
next_state <= IIC_ACK;
IIC_STOP:
if(IICACKStopCnt == 'd1 && IICCnt == IIC_Pre/4 && iicCLK == 1'b1)
next_state <= IIC_IDLE;
else
next_state <= IIC_STOP;
default:  next_state <= IIC_IDLE;
endcase
end

各個部分實現的詳細代碼,就不列舉出來啦,代碼總計280多行,也不算多。通過本IIC模塊,可以驅動OV5640攝像頭,MPU6050模塊和0.96寸OLED屏幕等等,后續會基于此模塊,來驅動這些外設。

五. testbeach編寫

還是按照流程走,編寫完模塊后,進行一下仿真,還真有錯誤,幸虧仿真了,哈哈哈。

`timescale 1ns/1ps


module testbench();


reg  clk;
reg  rst;
wire  SDA;
wire  SCL;
reg IICWriteReq;
reg IICReadReq;
wire IICWriteDone;
wire IICReadDone;
always # 50 clk = ~clk;
initial begin
clk = 1'b1;
rst = 1'b1;


IICWriteReq = 1'b0;
IICReadReq = 1'b1;
        #100   /*手動復位*/
rst = 1'b0;
        #100
rst = 1'b1;
end


always@(posedge clk)
if(IICReadDone == 1'b1)   /*讀完成后,readReq為0,只進行一次讀寫操作*/
IICReadReq <= 1'b0;
else
IICReadReq <= IICReadReq;


IIC_Driver  IIC_DriverHP(
.sys_clk            (clk),           /*系統時鐘*/
.rst_n              (rst),             /*系統復位*/


.IICSCL             (SCL),            /*IIC 時鐘輸出*/
.IICSDA             (SDA),             /*IIC 數據線*/


.IICSlave           ('h1234),


.IICWriteReq        (IICWriteReq),       /*IIC寫寄存器請求*/
.IICWriteDone        (IICWriteDone),      /*IIC寫寄存器完成*/
.IICWriteData        ('h5a), /*IIC發送數據 8bit的從機地址 + 8bit的寄存器地址 + 8bit的數據(讀忽略,后默認為0)*/


.IICReadReq         (IICReadReq),        /*IIC讀寄存器請求*/
.IICReadDone        (IICReadDone),       /*IIC讀寄存器完成*/
.IICReadData        ()/*IIC讀取數據*/
);


endmodule



審核編輯:劉清
聲明:本文內容及配圖由入駐作者撰寫或者入駐合作網站授權轉載。文章觀點僅代表作者本人,不代表電子發燒友網立場。文章及其配圖僅供工程師學習之用,如有內容侵權或者其他違規問題,請聯系本站處理。 舉報投訴
  • FPGA
    +關注

    關注

    1603

    文章

    21328

    瀏覽量

    593249
  • 寄存器
    +關注

    關注

    30

    文章

    5036

    瀏覽量

    117746
  • OLED屏
    +關注

    關注

    0

    文章

    154

    瀏覽量

    20651
  • OV5640
    +關注

    關注

    1

    文章

    19

    瀏覽量

    14089
  • IIC通信
    +關注

    關注

    0

    文章

    17

    瀏覽量

    2973

原文標題:FPGA實現IIC協議

文章出處:【微信號:FPGA研究院,微信公眾號:FPGA研究院】歡迎添加關注!文章轉載請注明出處。

收藏 人收藏

    評論

    相關推薦

    IIC總線的FPGA實現原理及過程

    IIC總線的FPGA實現原理及過程 IIC總線概述 IIC開發于1982年,當時是為了給電視機內的CPU和外圍芯片提供更簡易的互連方式。電視
    的頭像 發表于 05-15 02:35 ?1714次閱讀
    <b class='flag-5'>IIC</b>總線的<b class='flag-5'>FPGA</b><b class='flag-5'>實現</b>原理及過程

    IIC總線的FPGA實現說明

    DE2_TV中,有關于寄存器的配置的部分,采用的方法是通過IIC的功能,這里對IIC總線的FPGA實現做個說明。
    的頭像 發表于 01-05 10:16 ?322次閱讀
    <b class='flag-5'>IIC</b>總線的<b class='flag-5'>FPGA</b><b class='flag-5'>實現</b>說明

    IIc 協議及VHDL代碼實現

    位在前。3.2.2位時序和實現3.2.2.1IIC的通信協議:主機和從機在 iic總線上通信的時候, 它們之間有用類似 “ hello” ( 起始信號)和 “ Goodbye”(結束信
    發表于 04-07 13:12

    請問在STM32中怎么實現SPI協議IIC協議轉換?

    在STM32中怎么實現SPI協議IIC協議轉換???
    發表于 04-13 23:44

    STM32的IIC協議簡介

    、地址及數據方向4.5、響應(五)STM32的IIC特性及架構5.1、STM32的IIC外設簡介5.2、STM32的IIC架構剖析5.3、通信過程IIC
    發表于 01-05 06:13

    FPGA IIC協議的相關資料分享

    FPGA IIC協議
    發表于 01-18 07:51

    如何使用代碼實現IIC協議

    1. 綜述  由上篇博客可知道IIC協議如何用代碼實現,本篇博客就不涉及協議內容,只講解如何使用?! ”敬蔚膶嶒瀭鞲袨椋篋S3231(時鐘模塊),對于時鐘模塊的具體信息我也就不多介紹,
    發表于 02-21 06:36

    FPGA零基礎學習:IIC協議驅動設計

    不多說,上貨。IIC協議驅動設計本篇實現基于叁芯智能科技的SANXIN -B01 FPGA開發板,以下為配套的教程,如有入手開發板,可以登錄官方淘寶店購買,還有配套的學習視頻。叁芯智能
    發表于 03-21 17:56

    FPGA基礎知識之IIC協議讀寫解析

    很多數字傳感器、數字控制的芯片(DDS、串行ADC、串行DAC)都是通過IIC總線來和控制器通信的。不過IIC協議仍然是一種慢速的通信方式,標準IIC速率為100kbit/s,快速模式
    發表于 05-05 10:17 ?7816次閱讀
    <b class='flag-5'>FPGA</b>基礎知識之<b class='flag-5'>IIC</b><b class='flag-5'>協議</b>讀寫解析

    一文解讀IIC總線的FPGA實現原理及過程

    本文首先介紹了IIC總線概念和IIC總線硬件結構,其次介紹了IIC總線典型應用,最后詳細介紹了IIC總線的FPGA
    發表于 05-31 10:56 ?6386次閱讀
    一文解讀<b class='flag-5'>IIC</b>總線的<b class='flag-5'>FPGA</b><b class='flag-5'>實現</b>原理及過程

    基于叁芯智能科技的SANXIN -B01 FPGA開發板的IIC協議驅動設計

    IIC協議驅動設計 作者:郝旭帥校對:陸輝 本篇實現基于叁芯智能科技的SANXIN -B01FPGA開發板,以下為配套的教程,如有入手開發板,可以登錄官方淘寶店購買,還有配套的學習視頻
    的頭像 發表于 09-28 11:07 ?2729次閱讀
    基于叁芯智能科技的SANXIN -B01 <b class='flag-5'>FPGA</b>開發板的<b class='flag-5'>IIC</b><b class='flag-5'>協議</b>驅動設計

    基于FPGA的TCP/IP協議實現

    基于FPGA的TCP/IP協議實現說明。
    發表于 04-28 11:19 ?50次下載

    基于FPGA的SPI協議及設計實現

    基于FPGA的SPI協議及設計實現博主微信:flm13724054952,不懂的有疑惑的也可以加微信咨詢,歡迎大家前來投稿,謝謝!引言介紹在電子通信領域里采用的通信協議
    發表于 11-05 19:05 ?22次下載
    基于<b class='flag-5'>FPGA</b>的SPI<b class='flag-5'>協議</b>及設計<b class='flag-5'>實現</b>

    G2--FPGA_IIC通信-2021.10.31

    FPGA IIC接口通信本文介紹IIC通信協議以及代碼開發的注意事項,跑通了IIC協議,那么后續
    發表于 12-17 18:28 ?8次下載
    G2--<b class='flag-5'>FPGA_IIC</b>通信-2021.10.31

    振弦采集模塊的通訊協議IIC

    振弦采集模塊的通訊協議IICIIC 通訊協議本身即是基于設備地址和寄存器的物理層通訊協議, VMXXX 使用
    的頭像 發表于 11-17 10:02 ?557次閱讀
    振弦采集模塊的通訊<b class='flag-5'>協議</b>( <b class='flag-5'>IIC</b>)
    亚洲欧美日韩精品久久_久久精品AⅤ无码中文_日本中文字幕有码在线播放_亚洲视频高清不卡在线观看
    <acronym id="s8ci2"><small id="s8ci2"></small></acronym>
    <rt id="s8ci2"></rt><rt id="s8ci2"><optgroup id="s8ci2"></optgroup></rt>
    <acronym id="s8ci2"></acronym>
    <acronym id="s8ci2"><center id="s8ci2"></center></acronym>