<acronym id="s8ci2"><small id="s8ci2"></small></acronym>
<rt id="s8ci2"></rt><rt id="s8ci2"><optgroup id="s8ci2"></optgroup></rt>
<acronym id="s8ci2"></acronym>
<acronym id="s8ci2"><center id="s8ci2"></center></acronym>
0
  • 聊天消息
  • 系統消息
  • 評論與回復
登錄后你可以
  • 下載海量資料
  • 學習在線課程
  • 觀看技術視頻
  • 寫文章/發帖/加入社區
創作中心

完善資料讓更多小伙伴認識你,還能領取20積分哦,立即完善>

3天內不再提示

如何在下載Bitstream后自動觸發ILA采集

XILINX開發者社區 ? 來源:XILINX開發者社區 ? 2024-02-23 09:45 ? 次閱讀

本文作者:AMD 工程師Zhang Cheng

硬件調試時,經常需要用 ILA 采集一些 FPGA 內部或者對外的初始化信號,然而在下載完 Bitstream 后立即采集這些變化稍縱即逝的信號,比如在 1uS 內手動觸發 ILA 采集信號,以普通人的手速顯然是無能為力的。有的變通方法比如在程序中加入一定的延時量或者外部按鍵做觸發 ILA 采集也可以實現。但是某些應用場景下,初始化必須在上電后的一定時間范圍內完成。本文將介紹一種可適用于上述場景的方法,即在下載 Bitstream 后自動觸發 ILA 采集,為硬件調試提供更多便利。

調試方法:

1. 設備信息

操作系統:Win10
硬件平臺:Xilinx VCU118開發板
軟件版本:AMD Vivado2022.1


2. 代碼分析

132a642e-d173-11ee-a297-92fbcf53809c.png

當 MMCM 初始化完成,Locked 信號置1后,Init_Buf 開始計數,當 Init_Buf 等于 0x0E 時,Dout_Init 置1觸發 Data_Buf 開始計數,最終控制 Dout 輸出5個脈沖。整個過程大約在 1uS 內結束,普通人的手速是無法在如此短的時間內采集到這組信號。

3. Vivado 操作步驟

打開 Vivado,新建工程按照常規流程完成 synthesis 后,點擊 Setup Debug,將 Data_Buf, Dout, MMCM_locked, Dout_Init, Init_Buf 這些信號加入到 ILA 中,設置 100MHz 的時鐘作為 ILA 的采集時鐘。

Implementation 后 Generate Bitstream 并且下載到 FPGA。

此時可以看到之前連接到 ILA 的信號都已經顯示,設置 ILA 的觸發條件,本工程設置為當 Dout_Init =1 時觸發 ILA 采集。

運行

cdc:/ILA_Startup/ILA_Startup.runs/impl_1/

粗體部分可設置為任意的工程路徑,這樣便于在生成 bit 以后找到對應的文件。

在 Tcl Console 窗口輸入:

run_hw_ila -file ila_trig.tas [get_hw_ilas hw_ila_1] -force

Open implementation design,在Tcl窗口輸入:apply_hw_ila_trigger ila_trig.tas

在Tcl窗口輸入:

write_bitstreamc:/ILA_Startup/ILA_Startup.runs/impl_1/trig_at_startup.bit -force

粗體部分可設置為任意的工程路徑。

把新生成的 trig_at_startup.bit 通過 JTAG 下載到器件,下載完成后可以看到 ILA 將自動觸發采集信號,如下圖所示:

133e0362-d173-11ee-a297-92fbcf53809c.png

注意事項:

改變 ILA 的觸發條件后,需要重復上面 Step4- Step7 的步驟。

改變 ILA 的信號連接后,需要重復上面 Step2- Step7 的步驟。

審核編輯:湯梓紅

聲明:本文內容及配圖由入駐作者撰寫或者入駐合作網站授權轉載。文章觀點僅代表作者本人,不代表電子發燒友網立場。文章及其配圖僅供工程師學習之用,如有內容侵權或者其他違規問題,請聯系本站處理。 舉報投訴
  • FPGA
    +關注

    關注

    1602

    文章

    21320

    瀏覽量

    593195
  • 操作系統
    +關注

    關注

    37

    文章

    6284

    瀏覽量

    121876
  • 開發板
    +關注

    關注

    25

    文章

    4429

    瀏覽量

    93992
  • ILA
    ILA
    +關注

    關注

    0

    文章

    5

    瀏覽量

    3505

原文標題:開發者分享|AMD Vivado Hardware Debug 技巧-如何在下載 Bitstream 后自動觸發 ILA 采集

文章出處:【微信號:gh_2d1c7e2d540e,微信公眾號:XILINX開發者社區】歡迎添加關注!文章轉載請注明出處。

收藏 人收藏

    評論

    相關推薦

    如何實現ILA Cross Trigger

    ILA Cross Triggering功能使得ILA核心之間、以及ILA核心與處理器(例如,AMD Zynq 7000 SoC)之間可以進行Cross Trigger。這個功能在你需要在不同時鐘域的兩個
    的頭像 發表于 11-30 10:17 ?666次閱讀
    如何實現<b class='flag-5'>ILA</b> Cross Trigger

    一個ICON下兩個ila如何同時觸發

    請問大家,在一個ICON下做了兩個ila,使用的是不同的時鐘域。請問該如何同時觸發呢?非常感謝!
    發表于 11-25 08:49

    chipscope里一個ICON核下建了2個ila如何同時觸發

    請問大家,在一個ICON下做了兩個ila,使用的是不同的時鐘域。請問該如何設置同時觸發呢?非常感謝!
    發表于 11-25 08:57

    請問怎么在Vivado中保存Bitstream文件?

    的所有這些比特流文件,然后一段時間我可以將它下載到芯片中,以便確保哪一個與參數的哪個值相關。謝謝你的關注Meysam以上來自于谷歌翻譯以下為原文Dear All, How to save
    發表于 03-19 10:43

    何在下載flash圖像時從IAR編程選項字節

    大家好! 有沒有人知道,如何在下載flash圖像時從IAR編程選項字節,就像它在STVP中實現的那樣?也許,使用一些腳本來自動化。我需要編程幾百個uCs,每次手動設置這些字節都會
    發表于 04-24 15:11

    如何使用AXI配置的ILA調試PCIe AXI接口?

    認為綜合提出了一個警告,說它刪除了user_clk,或者那種性質的東西。當我切換到系統時鐘時,我的負余量從15ps變為-4ns(但它表示0路徑失?。?。 c)失敗的設置時間會導致ILA觸發嗎?我應該設置
    發表于 09-25 09:26

    為什么在ILA中找不到信號?

    (m_axis_data_tlast_fft405),//輸入線[0:0] probe2 .probe3(debug_FFTdata)//輸入線[63:0] probe3 ); 下載比特流,可以看到ILA IP核。但是清單中沒
    發表于 10-10 05:57

    LabVIEW如何控制工業相機軟觸發采集圖像

    。2)軟件觸發模式:通過sdk軟件方式給相機觸發信號重新曝光,曝光完成輸出圖像;3)硬件觸發模式:通過外部電路方式給相機觸發信號重新曝光,
    發表于 05-17 11:18

    Vivado在線調試方法-Vivado內嵌邏輯分析儀器的使用

    生成bit文件。III、下載程序連接開發板,下載程序。點擊Program device,出現了bit文件和ltx文件。點擊Program,自動出現在線調試窗口。此時可以看到,采樣深度
    發表于 04-06 21:48

    JLINK在下載程序的過程中怎么實現插入目標板自動下載?

    Jlink在下載程序的過程中怎么實現插入目標板自動下載
    發表于 10-11 06:31

    什么是D-ILA投影技術

    什么是D-ILA投影技術 D-ILA(Direct-Drive Image Light Amplifier,直接驅動圖像光源放大器)技術。D-ILA技術在提供高分辨率和高對比度方面顯示了技術優勢,
    發表于 02-05 10:42 ?644次閱讀

    Vivado中關于ILA的詳解

    集成邏輯分析儀 (Integrated Logic Analyzer :ILA) 功能允許用戶在 FPGA 設備上執行系統內調試后實現的設計。當設計中需要監視信號時,應使用此功能。用戶還可以使用此功能在硬件事件和以系統速度捕獲數據時觸發。
    的頭像 發表于 02-08 11:35 ?2.3w次閱讀
    Vivado中關于<b class='flag-5'>ILA</b>的詳解

    Vivado之ILA詳解

    集成邏輯分析儀 (Integrated Logic Analyzer :ILA) 功能允許用戶在 FPGA 設備上執行系統內調試后實現的設計。當設計中需要監視信號時,應使用此功能。用戶還可以使用此功能在硬件事件和以系統速度捕獲數據時觸發。
    發表于 01-22 07:52 ?19次下載
    Vivado之<b class='flag-5'>ILA</b>詳解

    何在Vivado下設置BITSTREAM配置信息

    首先我們看一下如何在Vivado下設置BITSTREAM配置信息。這可以在綜合之后進行。借助如下操作: 打開綜合后的設計 依次點擊Tools-》 Edit Device Properties 會彈
    的頭像 發表于 06-15 14:26 ?5569次閱讀
    如<b class='flag-5'>何在</b>Vivado下設置<b class='flag-5'>BITSTREAM</b>配置信息

    ILA工作原理 ILA使用方法與注意

    不一致,從而出現Bug。一種debug的方式就是用FPGA工具提供的ILA模塊(xilixn在ISE中叫:chipscope),來實時抓取FPGA內部數字信號的波形,分析邏輯錯誤的原因,幫助debug。 ILA
    的頭像 發表于 08-09 14:12 ?1.5w次閱讀
    <b class='flag-5'>ILA</b>工作原理 <b class='flag-5'>ILA</b>使用方法與注意
    亚洲欧美日韩精品久久_久久精品AⅤ无码中文_日本中文字幕有码在线播放_亚洲视频高清不卡在线观看
    <acronym id="s8ci2"><small id="s8ci2"></small></acronym>
    <rt id="s8ci2"></rt><rt id="s8ci2"><optgroup id="s8ci2"></optgroup></rt>
    <acronym id="s8ci2"></acronym>
    <acronym id="s8ci2"><center id="s8ci2"></center></acronym>