<acronym id="s8ci2"><small id="s8ci2"></small></acronym>
<rt id="s8ci2"></rt><rt id="s8ci2"><optgroup id="s8ci2"></optgroup></rt>
<acronym id="s8ci2"></acronym>
<acronym id="s8ci2"><center id="s8ci2"></center></acronym>
0
  • 聊天消息
  • 系統消息
  • 評論與回復
登錄后你可以
  • 下載海量資料
  • 學習在線課程
  • 觀看技術視頻
  • 寫文章/發帖/加入社區
會員中心
創作中心

完善資料讓更多小伙伴認識你,還能領取20積分哦,立即完善>

3天內不再提示

新思科技攜手Ansys和三星共同開發14LPU工藝的全新射頻集成電路設計

新思科技 ? 來源:新思科技 ? 2023-12-11 18:25 ? 次閱讀

新思科技攜手Ansys針對三星晶圓代工14LPU工藝開發全新射頻集成電路設計參考流程

該合作讓新思科技領先的定制設計流程和Ansys黃金簽核電磁(EM)分析工具強強聯手實現預測精度,為共同客戶提供全球領先的設計結果質量

新思科技(Synopsy)近日宣布,攜手Ansys 、三星半導體晶圓代工(以下簡稱“三星”)共同開發了面向三星14LPU工藝的全新射頻集成電路(RFIC)設計參考流程,助力5G/6G片上系統(SoC)和自動駕駛系統開發者應對日益增加的設計挑戰。該參考流程讓共同客戶能夠采用Ansys的黃金簽核精度電磁分析和新思科技的綜合模擬/射頻和混合信號設計及驗證解決方案,以更好地優化其RFIC設計。

下一代無線通信傳感器系統必須滿足一系列要求,包括更高的帶寬、更低的延遲、更好的覆蓋率,并支持互聯設備的擴展。高頻設計要經過設計元素之間的電磁(EM)耦合,需要非常高精度的建模引擎才能實現準確預測。電磁建模必須與版圖開發平臺緊密結合,以確保實現快速的數據共享、易于調試、高生產率和清晰的可視化結果。

該參考流程的關鍵組件包括新思科技定制設計系列產品,其中包括新思科技PrimeSim電路仿真解決方案,以及由Ansys RaptorX電磁建模系列、Ansys Exalto電磁提取和簽核和Ansys VeloceRF電感器和變壓器設計工具提供的電磁簽核收分析。

“高頻和無線電應用正在向智能手機、5G/6G、自動駕駛、可穿戴設備和物聯網等更廣的工業和消費應用領域擴展。隨著越來越多的客戶開始進行射頻和電磁設計,我們與新思科技和Ansys推出的全新14LPU參考流程提供了一條順暢且全面的路徑,可以充分利用三星第四代14納米工藝的速度和性能優勢,助力客戶更快、更可靠地完成設計?!?/p>

“隨著頻率攀升到射頻范圍,為了優化芯片的功率、面積、性能和可靠性,我們的客戶正面臨著全新的多物理場挑戰。我們與新思科技緊密合作,因此在針對三星客戶需求而創建的完整定制設計流程中,能夠便捷使用我們業界領先的電磁建模技術?!?/p>

“新思科技和Ansys基于數十年的半導體專長和發展經驗,攜手助力我們的共同客戶降低設計風險并加速成功。我們與Ansys針對三星14納米工藝節點推出的全新射頻設計參考流程,可提供一個開放和優化的流程,為先進的5G/6G無線系統交付出卓越的設計結果質量?!?/p>








審核編輯:劉清

聲明:本文內容及配圖由入駐作者撰寫或者入駐合作網站授權轉載。文章觀點僅代表作者本人,不代表電子發燒友網立場。文章及其配圖僅供工程師學習之用,如有內容侵權或者其他違規問題,請聯系本站處理。 舉報投訴
  • 無線通信
    +關注

    關注

    56

    文章

    4287

    瀏覽量

    142584
  • 片上系統
    +關注

    關注

    0

    文章

    160

    瀏覽量

    26493
  • RFIC
    +關注

    關注

    1

    文章

    59

    瀏覽量

    24317
  • 新思科技
    +關注

    關注

    5

    文章

    733

    瀏覽量

    50109
  • 射頻設計
    +關注

    關注

    0

    文章

    49

    瀏覽量

    8480

原文標題:新思科技攜手Ansys和三星,以14nm射頻設計加速6G到來

文章出處:【微信號:Synopsys_CN,微信公眾號:新思科技】歡迎添加關注!文章轉載請注明出處。

收藏 人收藏

    評論

    相關推薦

    思科技面向臺積公司先進工藝加速下一代芯片創新

    ?新思科攜手臺積公司共同開發人工智能驅動的芯片設計流程以優化并提高生產力,推動光子集成電路領域的發展,并針對臺積公司的2納米工藝
    發表于 05-11 11:03 ?138次閱讀
    新<b class='flag-5'>思科</b>技面向臺積公司先進<b class='flag-5'>工藝</b>加速下一代芯片創新

    是德科技、新思科技和Ansys推出全新集成射頻設計遷移流程

    近日,是德科技、新思科技和Ansys攜手,共同推出了一個革命性的集成射頻(RF)設計遷移流程。這
    的頭像 發表于 05-11 10:42 ?158次閱讀

    是德科技聯合新思科技、Ansys推出了一個全新集成射頻設計遷移流程

    新設計流程在新思科技的定制化設計系列、是德科技電磁仿真平臺以及 Ansys 器件合成軟件的基礎之上,提供了一個高效、集成射頻電路再設計解決
    的頭像 發表于 05-10 16:33 ?208次閱讀

    專用集成電路設計流程是什么 專用集成電路的特點有哪些

    專用集成電路設計流程是指通過設計和制造一種特定功能的芯片,以滿足特定應用場景的要求。專用集成電路(Application Specific Integrated Circuit,簡稱ASIC
    的頭像 發表于 05-04 17:20 ?1357次閱讀

    思科技計劃收購Ansys,350億美元!

    思科技和Ansys近日宣布已達成最終協議,新思科技將收購Ansys。該交易預計于2025年上半年完成,并需獲得股東和監管部門的批準。
    的頭像 發表于 01-17 17:00 ?664次閱讀

    思科技將以350億美元收購Ansys

    思科技(Synopsys)與Ansys兩家業界巨頭近日宣布,新思科技將以350億美元的價格收購Ansys。這一并購計劃旨在推動兩家公司在芯片到系統設計解決方案領域的全球領導地位。
    的頭像 發表于 01-17 14:53 ?411次閱讀

    思科技可互操作工藝設計套件助力開發者快速上手模擬設計

    模擬設計 新思科攜手Ansys 和 Keysight 共同推出全新射頻設計參考流程,能夠為現代
    的頭像 發表于 11-09 10:59 ?507次閱讀

    思科攜手是德科技、Ansys面向臺積公司4 納米射頻FinFET工藝推出全新參考流程,助力加速射頻芯片設計

    摘要: 全新參考流程針對臺積公司 N4PRF 工藝打造,提供開放、高效的射頻設計解決方案。 業界領先的電磁仿真工具將提升WiFi-7系統的性能和功耗效率。 集成的設計流程提升了
    發表于 10-30 16:13 ?145次閱讀

    思科技提供跨臺積公司先進工藝的參考流程,助力加速模擬設計遷移

    設計質量的同時,節省數周的手動迭代時間。 新思科技可互操作工藝設計套件(iPDK)適用于臺積公司所有FinFET先進工藝節點,助力開發者快速上手模擬設計。 新
    發表于 10-24 11:41 ?250次閱讀

    2023年10月21日芯片價格信息差《三星內存條》#采購#華強北#內存#集成電路#三星內存條#

    內存三星
    深圳市石芯電子有限公司
    發布于 :2023年10月21日 11:14:12

    國產EDA“夾縫”生存 集成電路設計和制造流程

    EDA有著“芯片之母”稱號,一個完整的集成電路設計和制造流程主要包括工藝平臺開發、集成電路設計集成電路制造三個階段,三個設計與制造的主要階
    發表于 09-28 14:31 ?1024次閱讀
    國產EDA“夾縫”生存 <b class='flag-5'>集成電路設計</b>和制造流程

    Cadence射頻集成電路解決方案

    和 N4PRF 制程射頻設計參考流程,為移動、汽車、醫療保健和航空航天市場的雷達、5G 和 WiFi-7 無線應用開發經過優化且高度可靠的新一代 RFIC 設計。目前,雙方的共同客戶已開始在
    的頭像 發表于 09-28 10:10 ?651次閱讀

    思科技3DIC Compiler獲得三星多裸晶芯集成工藝流程的認證

    Compiler是統一的多裸晶芯片封裝探索、協同設計和分析的平臺,已經獲得三星多裸晶芯集成工藝流程的認證。 全面和可擴展的新思科技多裸晶芯片系統能夠實現從早期設計探索到芯片生命周期管
    的頭像 發表于 09-14 09:38 ?936次閱讀

    集成電路按照實現工藝分類可以分為哪些?

    集成電路按照實現工藝分類可以分為哪些? 集成電路 (Integrated Circuit,簡稱IC) 是一種半導體器件,通過將許多電子元器件集成在單一的芯片上,實現了高度的
    的頭像 發表于 08-29 16:28 ?1990次閱讀

    思科技與三星擴大IP合作,加速新興領域先進SoC設計

    面向三星8LPU、SF5 (A)、SF4 (A)和SF3工藝的新思科技接口和基礎IP,加速先進SoC設計的成功之路 摘要: 新思科技接口IP
    發表于 06-30 13:40 ?369次閱讀
    亚洲欧美日韩精品久久_久久精品AⅤ无码中文_日本中文字幕有码在线播放_亚洲视频高清不卡在线观看
    <acronym id="s8ci2"><small id="s8ci2"></small></acronym>
    <rt id="s8ci2"></rt><rt id="s8ci2"><optgroup id="s8ci2"></optgroup></rt>
    <acronym id="s8ci2"></acronym>
    <acronym id="s8ci2"><center id="s8ci2"></center></acronym>