<acronym id="s8ci2"><small id="s8ci2"></small></acronym>
<rt id="s8ci2"></rt><rt id="s8ci2"><optgroup id="s8ci2"></optgroup></rt>
<acronym id="s8ci2"></acronym>
<acronym id="s8ci2"><center id="s8ci2"></center></acronym>
0
  • 聊天消息
  • 系統消息
  • 評論與回復
登錄后你可以
  • 下載海量資料
  • 學習在線課程
  • 觀看技術視頻
  • 寫文章/發帖/加入社區
會員中心
創作中心

完善資料讓更多小伙伴認識你,還能領取20積分哦,立即完善>

3天內不再提示

高速信號是否需要包地處理

jf_pJlTbmA9 ? 來源:凡億PCB ? 作者:凡億PCB ? 2023-12-14 18:33 ? 次閱讀

作者:陳虎,來源:凡億PCB

當我們在做高速PCB設計時,很多工程師都會糾結于包地問題,那么高速信號是否需要包地處理呢?

wKgaomVdmYqAbE3wAAPCfgKsXMw520.png

首先,我們要明確為什么要包地?包地的作用是什么?

實際上,包地的作用就是為了減小串擾,串擾形成的機理是有害信號從一個線網轉移到相鄰線網。

wKgZomVdmYuAaZGdAAG3cCfrEsQ020.png

而串擾在PCB上是由不同網絡之間因較長的平行布線引起的相互干擾,主要是由于平行線間的分布電容和分布電感的作用。

wKgaomVdmY2AS5CKAAFNCnLBeWU485.png

加入包地線是如何減少串擾的?

包地線是位于攻擊線和被攻擊線之間的隔離線,它可以有效的減少信號之間的電容,插入屏蔽地線后信號與地耦合,不在與鄰近線耦合,使線間串擾大大降低。另外包地線不僅僅只是屏蔽了電場,附件動態線上的電流也在包地線上產生了方向相反的感應電流,包地線上的感應電流產生的磁力線進一步抵消了動態線在靜態線位置處所產生的雜散磁力線。

wKgaomVdmY6AMqwpAAIUR46v0KE591.png

那么,包地真的能解決所有的串擾問題嗎?

高速走線的設計跟包地沒有多大關系,真正有關系的是信號間的干擾,專業術語也叫串擾,包地只是解決串擾的其中一個手段。

包地通常解決的是容性串擾,而感性串擾是通過空間磁力轉移的,包地并不能解決感性串擾,所以包地并不能隔絕所有的串擾問題。但是如果串擾問題沒有或者說是沒影響,其實包地和不包地都可以。

因此,對于高速數字信號一般不需要進行包地,最好的辦法就是加大信號線之間的間距,在PCB設計中平行布線的間距要遵循3W規則。

wKgZomVdmZCAC1UyAANAnSWYOg0085.pngwKgZomVdmZKAJrd5AA2fRjiqwCI251.png

而當我們需要進行包地解決串擾時,需要遵循的設計規則是

*包地線要有足夠的間距

*包地線上要打足夠多的過孔,比如二十分之一的波長間隔

*鋪地不要形成天線

wKgaomVdmZOAVzVKAAQZKUkGpfQ740.png

審核編輯 黃宇

聲明:本文內容及配圖由入駐作者撰寫或者入駐合作網站授權轉載。文章觀點僅代表作者本人,不代表電子發燒友網立場。文章及其配圖僅供工程師學習之用,如有內容侵權或者其他違規問題,請聯系本站處理。 舉報投訴
  • PCB設計
    +關注

    關注

    394

    文章

    4590

    瀏覽量

    83605
  • 高速信號
    +關注

    關注

    1

    文章

    197

    瀏覽量

    17634
收藏 人收藏

    評論

    相關推薦

    高速差分信號設計需要關注的事項

    隨著信息技術的飛速發展,高速差分信號設計在通信、數據處理等領域的應用日益廣泛。高速差分信號設計對于確保
    的頭像 發表于 05-16 16:38 ?193次閱讀

    PSoC?是否可以處理PCM信號?

    我看到PSoC? 6 有 PDM -> PCM 轉換器 但我想知道PSoC?是否可以處理 PCM 信號 如果是的話,它將使用哪些引腳
    發表于 03-04 07:55

    高速信號眼圖測試的基本原理

    基于“眼圖”概念。眼圖是一種信號波形的圖形表示方法,由連續傳輸的數字信號構成。當高速信號被傳輸時,信號會受到各種噪聲、失真和干擾的影響。通過
    的頭像 發表于 02-01 16:19 ?336次閱讀

    高速PCB信號走線的九大規則分別是什么?

    高速的 PCB 設計中,時鐘等關鍵的高速信號線,走線需要進行屏蔽處理,如果沒有屏蔽或只屏蔽了部分,都會造成 EMI 的泄漏。
    的頭像 發表于 01-10 16:03 ?599次閱讀
    <b class='flag-5'>高速</b>PCB<b class='flag-5'>信號</b>走線的九大規則分別是什么?

    示波器如何處理有噪聲的信號

    確性。因此,示波器需要有效地處理有噪聲的信號,以確保測量結果的可靠性和準確性。本文將通過以下幾個方面進行詳細的介紹和分析。 首先,了解信號噪聲的特性對于示波器處理有噪聲
    的頭像 發表于 12-21 15:37 ?663次閱讀

    交換機光口是否需要開啟?光口不亮怎么處理?

    交換機光口是否需要開啟?光口不亮怎么處理? 交換機光口(也稱為光纖接口)在網絡設備中扮演著重要的角色,用于傳輸光信號以實現高速數據傳輸。但是
    的頭像 發表于 12-07 10:10 ?3381次閱讀

    ADAU1445芯片是否有對信號延時處理的能力?

    ADAU1445芯片是否有對信號延時處理的能力?
    發表于 11-30 06:02

    高速信號是否需要走圓弧布線

    高速信號是否需要走圓弧布線
    的頭像 發表于 11-27 14:25 ?749次閱讀
    <b class='flag-5'>高速</b><b class='flag-5'>信號</b><b class='flag-5'>是否</b><b class='flag-5'>需要</b>走圓弧布線

    高速設計中,如何解決信號的完整性問題?

    高速設計中,如何解決信號的完整性問題? 在高速設計中,信號完整性問題是一個至關重要的考慮因素。它涉及信號在整個設計系統中的傳輸、接收和響應
    的頭像 發表于 11-24 14:32 ?340次閱讀

    高速信號pcb設計中的布局

    對于高速信號,pcb的設計要求會更多,因為高速信號很容易收到其他外在因素的干擾,導致實際設計出來的東西和原本預期的效果相差很多。 所以在高速
    的頭像 發表于 11-06 10:04 ?494次閱讀
    <b class='flag-5'>高速</b><b class='flag-5'>信號</b>pcb設計中的布局

    針對高速信號,我們選擇ESD器件的時候特別需要在哪些方面要注意呢?

    針對高速信號,我們選擇ESD器件的時候特別需要在哪些方面要注意呢? 高速信號是指傳輸速率較快的信號
    的頭像 發表于 10-24 10:26 ?437次閱讀

    pcb上的高速信號需要仿真串擾嗎

    pcb上的高速信號需要仿真串擾嗎? 在數字電子產品中,高速信號被廣泛應用于芯片內部和芯片間的數據傳輸。這些
    的頭像 發表于 09-05 15:42 ?562次閱讀

    【華秋干貨鋪】PCB布線技巧升級:高速信號

    如下表所示,接口信號能工作在8Gbps及以上速率,由于速率很高,PCB布線設計要求會更嚴格,在前幾篇關于PCB布線內容的基礎上,還需要根據本篇內容的要求來進行PCB布線設計。高速信號
    的頭像 發表于 08-03 17:31 ?747次閱讀
    【華秋干貨鋪】PCB布線技巧升級:<b class='flag-5'>高速</b><b class='flag-5'>信號</b>篇

    PCB布線技巧升級:高速信號

    如下表所示,接口信號能工作在8Gbps及以上速率,由于速率很高,PCB布線設計要求會更嚴格,在前幾篇關于PCB布線內容的基礎上,還需要根據本篇內容的要求來進行PCB布線設計。 高速信號
    的頭像 發表于 08-01 18:10 ?1450次閱讀
    PCB布線技巧升級:<b class='flag-5'>高速</b><b class='flag-5'>信號</b>篇

    為超聲系統選擇高速信號處理元件

    醫學超聲需要控制和處理各種高速信號。這些信號包括高頻聲波、高頻/寬動態范圍連續/脈沖波、高速數字
    的頭像 發表于 06-17 10:35 ?1050次閱讀
    為超聲系統選擇<b class='flag-5'>高速</b><b class='flag-5'>信號</b><b class='flag-5'>處理</b>元件
    亚洲欧美日韩精品久久_久久精品AⅤ无码中文_日本中文字幕有码在线播放_亚洲视频高清不卡在线观看
    <acronym id="s8ci2"><small id="s8ci2"></small></acronym>
    <rt id="s8ci2"></rt><rt id="s8ci2"><optgroup id="s8ci2"></optgroup></rt>
    <acronym id="s8ci2"></acronym>
    <acronym id="s8ci2"><center id="s8ci2"></center></acronym>