<acronym id="s8ci2"><small id="s8ci2"></small></acronym>
<rt id="s8ci2"></rt><rt id="s8ci2"><optgroup id="s8ci2"></optgroup></rt>
<acronym id="s8ci2"></acronym>
<acronym id="s8ci2"><center id="s8ci2"></center></acronym>
0
  • 聊天消息
  • 系統消息
  • 評論與回復
登錄后你可以
  • 下載海量資料
  • 學習在線課程
  • 觀看技術視頻
  • 寫文章/發帖/加入社區
會員中心
創作中心

完善資料讓更多小伙伴認識你,還能領取20積分哦,立即完善>

3天內不再提示

自動化建模和優化112G封裝過孔 ——封裝Core層過孔和BGA焊盤區域的阻抗優化

jf_pJlTbmA9 ? 來源:Cadence楷登PCB及封裝資源中 ? 作者:Cadence楷登PCB及封裝 ? 2023-11-29 15:19 ? 次閱讀

本文轉載自:Cadence楷登PCB及封裝資源中心

導讀:移動數據的迅速攀升、蓬勃發展的人工智能機器學習AI / ML)應用,以及 5G 通信對帶寬前所未有的需求,導致對現有云數據中心的服務器、存儲和網絡架構形成了巨大壓力。這些頗具挑戰性的應用需要高 I / O 帶寬和低延遲通信的支持。112G SerDes 技術具有卓越的長距性能、優秀的設計裕度、優化的功耗和面積,是下一代云網絡、AI / ML 和 5G 無線應用的理想選擇。由于更小的 UI 和更低的 SNR,在采用 112G 數據速率的過程中會遇到更大的挑戰。解決這一問題需要綜合考慮 RX / TX 規范、串擾、抖動、碼間干擾(ISI)和噪聲等多種因素,IEEE 標準也推出了通道運行裕度(COM)和有效回波損耗(ERL)作為測量標準,用于檢查高速串行系統的互操作裕度。

體現到信號完整性工程師的實際工作中,一項重要內容就是要分析和優化無源鏈路中的阻抗連續性和不同信號之間的串擾。封裝基板上的Core層過孔和BGA焊盤區域,是封裝上影響最大的阻抗不連續段,同時,這個區域因為有比較長的過孔縱向耦合,也是最容易引入串擾的地方,是我們需要重點優化的。本文我們將聚焦封裝Core層過孔的阻抗連續性優化。

一、封裝過孔區域的阻抗特性分析

下圖是一個典型的封裝Core過孔和BGA焊盤區域的差分回波損耗結果。在奈奎斯特頻率以下的差模-差?;負p都已基本控制到-20dB以下。

wKgZomVdgqiAbn5NAAB-I-s5XSc346.png

我們再看下其對應的TDR結果??梢钥吹綄嶋H阻抗并不是很靠近目標值90歐姆的一條直線,而是存在多個阻抗不連續點。

wKgaomVdgqmAewdEAACIDfJF1hs577.png

我們可以結合Layout結構來理解其中的各段阻抗變化。首先看下阻抗最低的D點,這個地方對應的是BGA焊盤區域。一般要控制差分阻抗90歐,差分走線的線寬在25-30um左右,而BGA焊盤的直徑會有500-600um,所以這里最容易出現阻抗偏低的情況,需要把相鄰的幾層平面挖空。

wKgaomVdgrCAUl7vAAWUAzkAyy0619.png

另外一個阻抗較低的B點是Core層過孔的焊盤位置。這個焊盤的直徑一般是250-350um,也是比走線線寬高 了一個數量級,所以這里也要對相鄰幾層的平面做挖空處理。

wKgZomVdgreAVVsyAARWJEm9V3U254.png

C點區域是Core過孔的筒身部分。這部分會根據不同的筒身高度(Core層厚度)、相鄰層挖空大小/層數、周圍回流地孔的距離/數量等體現出容性或者感性。

最開始的阻抗較大的A點是走線在回流平面挖空區域部分。這個地方因為相鄰層都挖空掉,按照差分線寬量級的寬度布線,就會出現實際阻抗比目標值高的情況。

二、封裝過孔分析案例自動化建模

如上所述,封裝Core層過孔和BGA焊盤區域的多個布線參數都會影響這段鏈路的阻抗連續性,而且鏈路上不同組件對這些參數的調整方向需求有的還相互沖突,需要綜合權衡。這么多參數需要調整,不可能把所有的組合都先在封裝工具中設計出來再逐一用仿真工具提取模型進行分析。比較常見的做法是由資深的SI工程師根據經驗判斷最關鍵的參數和大致的取值范圍,請封裝設計工程師做幾種不同的場景,然后在這基礎上把各層挖空大小做成變量進行掃描,或者根據仿真結果手動迭代調整參數。但是,這種做法存在很多限制:首先是嚴重依賴資深工程師的經驗;其次是受項目交付周期限制,實際能覆蓋到的參數組合和調整范圍空間都比較有限;最后,如果出線層、疊層、材料、管腳排布、信號速率等發生變化,這些參數調整的結論不能直接復用,重新建模分析又非常消耗時間。

筆者的做法是利用仿真工具強大的參數表達式功能,編寫Python腳本,讀入PadStack、疊層材料、Pin Map等信息,自動創建封裝過孔優化工程,把上述各種參數,包括過孔間距、挖空區域大小、挖空層數、回流過孔方式、回流過孔距離、挖空區域走線線寬等,都在模型中做成可掃描的參數。這樣,調整參數時只要在仿真工具中修改數值,整個仿真結構也會跟著改動,不需要返回封裝設計工具進行調整,更加方便快捷。而且,不管疊層、材料、管腳排布等如何變化,只要簡單修改輸入配置文件,十分鐘就能完成新的仿真工程建模。

wKgZomVdgrmAR5XmAAnB_ZOKxNo125.png

三、設計參數自動化/智能化調整

完成仿真工程建模后,下一步就是要調整設計樣式的選擇和各設計參數的取值,以優化阻抗連續性和串擾大小。這里會遇到一個問題,就是由于參數數量多,每個參數還有各自的取值范圍,即便SI工程師根據經驗固定某些參數的數值或者綁定不同參數同步變化進行簡化,各參數排列組合后的取值空間很可能依然是巨大的。以5個獨立變量,每個變量10個掃描數值來計算,排列組合的取值空間就達到10^5=100,000個,這個數量級根本不可能在實際項目交付過程中去遍歷。即使是每個變量只有5個掃描數值,排列組合的取值空間也達到5^5=3125個,很難遍歷完成。因此,一般的做法還是需要SI工程師手動進行”調整參數”->”仿真”->”分析結果”->”調整參數”->”仿真“的迭代,受到項目交付周期和有效仿真/分析時間的限制,實際能完成的迭代次數非常有限,通常都不見得能找到最優解。

隨著仿真工具的發展,現在調參這個難題可以交給AI引擎來自動實現。這里我們利用Cadence最新推出的Optimality Intelligent System Explorer智能優化引擎來完成封裝過孔優化。在Cadence Clarity 3D Solver仿真工具中打開通過腳本創建出來的仿真工程,通過菜單欄命令打開Optimality Explorer優化引擎,接下來只需要設置好需要調整哪些參數、每個參數的取值范圍,然后定義好我們要優化的目標、設置并行跑的任務數量和仿真服務器資源,剩下的就是等Optimality Explorer根據機器學習算法自動完成” 調整參數”->” 仿真”->” 分析結果”->” 調整參數”->” 仿真 “的迭代,最終得到我們想要的優化結果了。

值得一提的是,Optimality Explorer除了官方給出的一些常用的插損、回損、串擾、TDR等優化目標,還支持Python接口,可以用Python自定義任意的目標函數,比如本例我們用了自定義的TDR指標作為優化目標,綜合考慮了TDR結果中的阻抗偏差最大值、阻抗偏差峰峰值、偏差阻抗長度等指標。

wKgaomVdgr2Abhk0AAGYRpndiAs057.png

Optimality Explorer的收斂曲線如下。經過幾十次迭代后,得到的仿真結果TDR指標就已經優于工程師手動迭代的結果。因為是工具自動調參,不需要工程師干預,我們可以按原定設置最大迭代次數繼續進行優化,進一步得到更優化的結果。

wKgZomVdgr6ALrltAADqlbks5vc893.png

審核編輯 黃宇

聲明:本文內容及配圖由入駐作者撰寫或者入駐合作網站授權轉載。文章觀點僅代表作者本人,不代表電子發燒友網立場。文章及其配圖僅供工程師學習之用,如有內容侵權或者其他違規問題,請聯系本站處理。 舉報投訴
  • 阻抗
    +關注

    關注

    17

    文章

    902

    瀏覽量

    45455
  • 封裝
    +關注

    關注

    124

    文章

    7390

    瀏覽量

    141385
  • BGA
    BGA
    +關注

    關注

    4

    文章

    509

    瀏覽量

    46147
  • 焊盤
    +關注

    關注

    6

    文章

    520

    瀏覽量

    37761
  • 過孔
    +關注

    關注

    1

    文章

    193

    瀏覽量

    21669
收藏 人收藏

    評論

    相關推薦

    鉆刀無忌,過孔莫愁

    阻抗,大部分情況(注意,不是全部)下呈現出容性,也就是阻抗會偏低。影響過孔阻抗的主要因素有孔徑、反
    發表于 04-22 14:43

    鉆刀無忌,過孔莫愁

    鉆刀是冷的,單板是冷的,眼見著過孔阻抗居高不下,雷豹的心也越來越冷……
    的頭像 發表于 04-22 14:41 ?635次閱讀
    鉆刀無忌,<b class='flag-5'>過孔</b>莫愁

    PCB設計優化丨布線布局必須掌握的檢查項

    ,器件的極性標志,連接器的方向標識。 ● 器件封裝的絲印大小是否合適,器件文字符號是否符合標準要求。 ● 插裝器件的通孔孔徑是否合適、安裝孔金屬定義是否準確。 ● 表面貼裝器件的
    發表于 02-27 18:19

    PCB線路板過孔蓋油與過孔塞油的優劣比較

    隨著電子行業的發展,線路板(PCB)作為電子產品的基礎組件變得愈發重要。而線路板制造中的關鍵工藝之一就是過孔處理。在過孔處理中,過孔蓋油和過孔塞油是常見的兩種工藝。今天,捷多邦將深入探
    的頭像 發表于 02-21 16:41 ?593次閱讀

    為什么PCB要把過孔堵上?

    防止PCB過波峰焊時錫從導通孔貫穿元件面造成短路;特別是我們把過孔放在BGA焊盤上時,就必須先做塞孔,再鍍金處理,便于BGA的焊接。
    發表于 12-13 15:47 ?143次閱讀

    高速 112G 設計和通道運行裕度

    高速 112G 設計和通道運行裕度
    的頭像 發表于 12-05 14:24 ?395次閱讀
    高速 <b class='flag-5'>112G</b> 設計和通道運行裕度

    阻抗和損耗控制的挑戰

    量級,掏空經常不可行 2. 連接器?封裝阻抗設計本身就是挑戰,裝配連接器后,阻抗會變得更差 3. 過孔阻抗受控?通孔和布線密度(反
    發表于 09-19 07:25

    如何在封裝設計中創建并使用非圓形過孔堆疊?

    要設計出尺寸更小的電子器件,可以在多層基板或多層印刷電路板(PCB)中采用高密度設計,增加每層的使用率。在多層封裝或多層電路板的設計和制造過程中,過孔的作用不可或缺。我們需要使用過孔或電鍍過孔
    的頭像 發表于 08-19 08:15 ?457次閱讀
    如何在<b class='flag-5'>封裝</b>設計中創建并使用非圓形<b class='flag-5'>過孔</b>堆疊?

    【華秋干貨鋪】PCB布線技巧升級:高速信號篇

    打孔換,換優先選擇兩邊是GND的層面處理。盡量收發信號布線在不同,如果空間有限,需收發信號走線同時,應加大收發信號之間的布線距離。 針對以上高速信號還有如下方面的要求: 01
    發表于 08-03 18:18

    PCB布線技巧升級:高速信號篇

    打孔換,換優先選擇兩邊是GND的層面處理。盡量收發信號布線在不同,如果空間有限,需收發信號走線同時,應加大收發信號之間的布線距離。 針對以上高速信號還有如下方面的要求: 一、
    發表于 08-01 18:02

    什么是PCB過孔?PCB過孔有哪些類型?

    今天是關于:PCB過孔、5種PCB過孔類型、PCB過孔處理工藝 一、PCB過孔是什么意思? PCB過孔用于在多層PCB的各層、走線、焊盤等之
    的頭像 發表于 07-25 19:45 ?6344次閱讀
    什么是PCB<b class='flag-5'>過孔</b>?PCB<b class='flag-5'>過孔</b>有哪些類型?

    TQFN封裝導熱焊盤過孔設計指南

    電子發燒友網站提供《TQFN封裝導熱焊盤過孔設計指南.pdf》資料免費下載
    發表于 07-24 09:50 ?0次下載
    TQFN<b class='flag-5'>封裝</b>導熱焊盤<b class='flag-5'>過孔</b>設計指南

    什么是BGA扇出 典型BGA 封裝的內部結構

    在 PCB 布局設計中,特別是BGA(球柵陣列),PCB扇出、焊盤和過孔尤為重要。扇出是從器件焊盤到相鄰過孔的走線。
    發表于 07-18 12:38 ?2142次閱讀
    什么是<b class='flag-5'>BGA</b>扇出 典型<b class='flag-5'>BGA</b> <b class='flag-5'>封裝</b>的內部結構

    PCB設計也總有阻抗不能連續怎么辦?

    ,就要考慮過孔的影響。 減小過孔阻抗不連續性的常用方法有:采用無工藝、選擇出線方式、優化
    發表于 06-27 15:09

    清理PCB中的布線與過孔

    縫合孔)。如果您選擇此選項,則必須確認頂層和底層的敷銅區域被填充。如果未填充,則這些“并聯”的過孔會被視為冗余而刪除。請謹慎使用此選項??梢允褂?Ctrl+Z 進行恢復。 刪除僅連接一個
    發表于 06-25 12:19
    亚洲欧美日韩精品久久_久久精品AⅤ无码中文_日本中文字幕有码在线播放_亚洲视频高清不卡在线观看
    <acronym id="s8ci2"><small id="s8ci2"></small></acronym>
    <rt id="s8ci2"></rt><rt id="s8ci2"><optgroup id="s8ci2"></optgroup></rt>
    <acronym id="s8ci2"></acronym>
    <acronym id="s8ci2"><center id="s8ci2"></center></acronym>