<acronym id="s8ci2"><small id="s8ci2"></small></acronym>
<rt id="s8ci2"></rt><rt id="s8ci2"><optgroup id="s8ci2"></optgroup></rt>
<acronym id="s8ci2"></acronym>
<acronym id="s8ci2"><center id="s8ci2"></center></acronym>
0
  • 聊天消息
  • 系統消息
  • 評論與回復
登錄后你可以
  • 下載海量資料
  • 學習在線課程
  • 觀看技術視頻
  • 寫文章/發帖/加入社區
創作中心

完善資料讓更多小伙伴認識你,還能領取20積分哦,立即完善>

3天內不再提示

Xilinx FPGA IP之Block Memory Generator AXI接口說明

CHANBAEK ? 來源:FPGA自學筆記分享 ? 作者:FPGA自學筆記分享 ? 2023-11-14 18:25 ? 次閱讀

之前的文章對Block Memory Generator的原生接口做了說明和仿真,本文對AXI接口進行說明。

如下圖所示,AXI4接口的BMG是基于原生接口進行包裝的。有兩種可用的AXI4接口樣式:AXI4和AXI4- lite。

圖片

典型的應用場景包括:

1、AXI4 Block Memories–Memory Slave Mode:內存從屬模式下的AXI4塊MEM,如下圖所示。

圖片

2、AXI4-Lite Block Memories–Memory Slave Mode:內存從屬模式下的AXI4-Lite塊MEM,如下圖所示。

圖片

3、AXI4 Block Memories–Peripheral Slave Mode:外設從屬模式下的AXI4塊MEM,如下圖所示。

圖片

4、AXI4-Lite Block Memories–Peripheral Slave Mode:外設從屬模式下的AXI4-Lite塊MEM,如下圖所示。

圖片

對于AXI4和AXI4-Lite接口的讀寫,就是標準的AXI4協議,AXI4和AXI4-Lite的寫操作如下圖所示。整個流程為:主端準備好寫地址(AXI4還有AWLEN、AWSIZE、AWSIZE、AWBURST信息)然后拉高AWVALID信號,當AWREADY拉高后表示地址被接受。同時主端準備好寫數據并拉高AVALID信號(不用等待從端拉高AWREADY),當WREADY拉高后表示數據被接受。在AXI4模式下,BURST的最后一個輸出傳輸時要拉高WLAST信號。最后從端返回響應信號。詳細的依賴關系可參考FPGA IP之AXI4協議3_通道間的依賴關系。

圖片

圖片

AXI4和AXI4-Lite的讀操作如下圖所示。整個流程為:主端準備好寫地址(AXI4還有ARLEN、ARSIZE、ARBURST信息)然后拉高ARVALID信號,當ARREADY拉高后表示地址被接受。同時從端準備好寫數據并拉高RVALID信號,當RREADY拉高后表示數據被接受。在AXI4模式下,BURST的最后一個輸出傳輸時要拉高RLAST信號。最后從端返回響應信號。詳細的依賴關系可參考FPGA IP之AXI4協議3_通道間的依賴關系

圖片

圖片

當然AXI4接口除了支持上圖中的Incremental Burst(增量突發)模式外,還支持Wrap Burst、Narrow Transactions 、Unaligned Transactions 模式,這些僅是接口方式的差異,主要是對AXI4協議的支持上,和Block Memory關系不大,這里不再詳細描述。

聲明:本文內容及配圖由入駐作者撰寫或者入駐合作網站授權轉載。文章觀點僅代表作者本人,不代表電子發燒友網立場。文章及其配圖僅供工程師學習之用,如有內容侵權或者其他違規問題,請聯系本站處理。 舉報投訴
  • FPGA
    +關注

    關注

    1604

    文章

    21340

    瀏覽量

    594120
  • 接口
    +關注

    關注

    33

    文章

    7742

    瀏覽量

    148724
  • Xilinx
    +關注

    關注

    70

    文章

    2127

    瀏覽量

    119528
  • 仿真
    +關注

    關注

    50

    文章

    3876

    瀏覽量

    132277
  • AXI
    AXI
    +關注

    關注

    1

    文章

    126

    瀏覽量

    16320
收藏 人收藏

    評論

    相關推薦

    Xilinx zynq AXI總線全面解讀

    AXI (Advanced eXtensible Interface) 本是由ARM公司提出的一種總線協議, Xilinx從 6 系列的 FPGA 開始對 AXI 總線提供支持,目前使
    的頭像 發表于 12-04 12:22 ?6390次閱讀
     <b class='flag-5'>Xilinx</b> zynq <b class='flag-5'>AXI</b>總線全面解讀

    Xilinx FPGA IPBlock Memory Generator功能概述

    Xilinx Block Memory Generator(BMG)是一個先進的內存構造器,它使用Xilinx
    的頭像 發表于 11-14 17:49 ?1073次閱讀
    <b class='flag-5'>Xilinx</b> <b class='flag-5'>FPGA</b> <b class='flag-5'>IP</b>之<b class='flag-5'>Block</b> <b class='flag-5'>Memory</b> <b class='flag-5'>Generator</b>功能概述

    Xilinx FPGA IPBlock Memory Generator仿真

    上文對BMG ip的基本情況進行了簡單的描述,本文通過例化仿真來實際使用功能一下這個IP。
    的頭像 發表于 11-14 18:24 ?797次閱讀
    <b class='flag-5'>Xilinx</b> <b class='flag-5'>FPGA</b> <b class='flag-5'>IP</b>之<b class='flag-5'>Block</b> <b class='flag-5'>Memory</b> <b class='flag-5'>Generator</b>仿真

    XILINX FPGA IPAXI Traffic Generator

    AXI Traffic Generator IP 用于在AXI4和AXI4-Stream互連以及其他AX
    的頭像 發表于 11-23 16:03 ?941次閱讀
    <b class='flag-5'>XILINX</b> <b class='flag-5'>FPGA</b> <b class='flag-5'>IP</b>之<b class='flag-5'>AXI</b> Traffic <b class='flag-5'>Generator</b>

    Xilinx FPGA入門連載48:FPGA片內RAM實例RAM配置

    `Xilinx FPGA入門連載48:FPGA片內RAM實例RAM配置特權同學,版權所有配套例程和更多資料下載鏈接:http://pan.baidu.com/s/1jGjAhEm 1
    發表于 01-22 13:39

    Xilinx FPGA片內ROM實例ROM配置

    Xilinx FPGA入門連載44:FPGA片內ROM實例ROM配置特權同學,版權所有配套例程和更多資料下載鏈接:http://pan.baidu.com/s/1jGjAhEm 1
    發表于 01-09 16:02

    創建Block RAM IP不起作用

    在我的一個FPGA類中,我被要求使用coregen創建一個blcok ram(8dx16w),單端口ram IP。我在Windows XP,Service Pack 3上使用Xilinx ISE
    發表于 06-05 13:39

    XILINX MIG(DDR3) IPAXI接口與APP接口的區別以及優缺點對比

    XILINX MIG(DDR3) IPAXI接口與APP接口的區別以及優缺點對比
    發表于 11-24 21:47

    如何使用Xilinx AXI VIP對自己的設計搭建仿真驗證環境的方法

    使用Vivado生成AXI VIP(AXI Verification IP)來對自己設計的AXI接口模塊進行全方位的驗證(如使用VIP的Ma
    發表于 10-09 16:08

    M12接口說明

    M12接口說明
    發表于 12-27 16:46 ?0次下載

    如何使用Xilinx AXI進行驗證和調試

    了解如何使用Xilinx AXI驗證IP有效驗證和調試AXI接口。 該視頻回顧了使用的好處,以及如何使用示例設計進行模擬。
    的頭像 發表于 11-20 06:38 ?3664次閱讀

    FPGA程序設計:如何封裝AXI_SLAVE接口IP

    FPGA程序設計的很多情形都會使用到AXI接口總線,以PCIe的XDMA應用為例,XDMA有兩個AXI接口,分別是
    的頭像 發表于 10-30 12:32 ?4024次閱讀
    <b class='flag-5'>FPGA</b>程序設計:如何封裝<b class='flag-5'>AXI</b>_SLAVE<b class='flag-5'>接口</b><b class='flag-5'>IP</b>

    FPGA AXI4協議學習筆記(三)

    上文FPGA IPAXI4協議1_信號說明AXI協議5個通道的接口信息做了
    的頭像 發表于 05-24 15:06 ?743次閱讀
    <b class='flag-5'>FPGA</b> <b class='flag-5'>AXI</b>4協議學習筆記(三)

    基于Xilinx FPGA AXI-EMC IP的EMIF通信測試

    外部存儲器接口( EMIF )通信常用于FPGA和DSP之間的數據傳輸,即將FPGA作為DSP的外部SRAM、或者協同處理器等。Xilinx提供了A
    的頭像 發表于 08-31 11:25 ?3126次閱讀
    基于<b class='flag-5'>Xilinx</b> <b class='flag-5'>FPGA</b> <b class='flag-5'>AXI</b>-EMC <b class='flag-5'>IP</b>的EMIF通信測試

    XILINX FPGA IP之FIFO Generator例化仿真

    上文XILINX FPGA IP之FIFO對XILINX FIFO Generator IP的特
    的頭像 發表于 09-07 18:31 ?981次閱讀
    <b class='flag-5'>XILINX</b> <b class='flag-5'>FPGA</b> <b class='flag-5'>IP</b>之FIFO <b class='flag-5'>Generator</b>例化仿真
    亚洲欧美日韩精品久久_久久精品AⅤ无码中文_日本中文字幕有码在线播放_亚洲视频高清不卡在线观看
    <acronym id="s8ci2"><small id="s8ci2"></small></acronym>
    <rt id="s8ci2"></rt><rt id="s8ci2"><optgroup id="s8ci2"></optgroup></rt>
    <acronym id="s8ci2"></acronym>
    <acronym id="s8ci2"><center id="s8ci2"></center></acronym>