<acronym id="s8ci2"><small id="s8ci2"></small></acronym>
<rt id="s8ci2"></rt><rt id="s8ci2"><optgroup id="s8ci2"></optgroup></rt>
<acronym id="s8ci2"></acronym>
<acronym id="s8ci2"><center id="s8ci2"></center></acronym>
0
  • 聊天消息
  • 系統消息
  • 評論與回復
登錄后你可以
  • 下載海量資料
  • 學習在線課程
  • 觀看技術視頻
  • 寫文章/發帖/加入社區
會員中心
創作中心

完善資料讓更多小伙伴認識你,還能領取20積分哦,立即完善>

3天內不再提示

Xilinx FPGA IP之Block Memory Generator功能概述

CHANBAEK ? 來源: FPGA自學筆記分享 ? 作者: FPGA自學筆記分享 ? 2023-11-14 17:49 ? 次閱讀

Xilinx Block Memory Generator(BMG)是一個先進的內存構造器,它使用Xilinx fpga中的嵌入式RAM資源生成面積和 性能優化的內存。

支持普通接口和AXI兩種接口。BMG IP的AXI4接口配置派生自本地接口 配置,在IP中添加了一個行業標準總線協議接口。有兩種可用的AXI4 接口樣式:AXI4和 AXI4- lite。

圖片

功能概述:

?優化算法最小塊RAM資源利用率或低功耗利用率

?可配置內存初始化

?在UltraScale?,UltraScale+?,Zynq?-7000,

Spartan?-7,Artix?-7,Kintex?-7和Virtex?-7器件上獨立的byte寫使能(帶或不帶奇偶校驗)

?優化的Verilog行為模型用于仿真提速;精確的結構仿真模型用于模擬存儲行為

?每個端口可選擇的操作模式:WRITE_FIRST, READ_FIRST或NO_CHANGE

?SDP模式下有更低的數據寬度

?標準DOUT塊RAM級聯

使用普通接口時:

?生成單端口RAM,簡單雙端口RAM,真雙端口RAM,單端口

ROM和雙口ROM

?支持最大16M bytes的內存大小(字節大小8或9)(僅受選定部分的內存資源限制)

?可配置端口輸入輸出比

?支持內置ECC (Hamming Error Correction Capability)。錯誤注入引腳允許插入單位和雙位錯誤

?支持數據寬度小于64位的軟ECC (soft Hamming Error Correction)

?可選擇流水線DOUT總線,以提高特定配置的性能

?在SR (Set reset)或CE的優先級之間選擇輸出寄存器的復位優先級

?性能高達450 MHz

圖片

使用AXI4接口時:

?支持AXI4和AXI4- lite接口協議

?符合AXI4的內存和外設從屬類型

?獨立的讀寫通道

?零延遲數據路徑

?支持握手信號的寄存器輸出

?INCR突發大小高達256個數據傳輸

?WRAP突發大小為2、4、8和16

?AXI非對齊的突發傳輸

?簡單的雙端口RAM的配置

?性能高達300Mhz

?支持數據寬度高達256位和內存深度從1到1M字(僅受選定部分的內存資源限制)

?對稱輸入輸出

?異步active-Low復位

使用默認接口時,支持的工作模式:

?單端口RAM

圖片

?簡單的雙端口RAM

圖片

?真正的雙端口RAM

圖片

?單端口ROM

圖片

?雙端口ROM

圖片

聲明:本文內容及配圖由入駐作者撰寫或者入駐合作網站授權轉載。文章觀點僅代表作者本人,不代表電子發燒友網立場。文章及其配圖僅供工程師學習之用,如有內容侵權或者其他違規問題,請聯系本站處理。 舉報投訴
  • FPGA
    +關注

    關注

    1608

    文章

    21367

    瀏覽量

    594687
  • 嵌入式
    +關注

    關注

    5002

    文章

    18414

    瀏覽量

    291551
  • Xilinx
    +關注

    關注

    70

    文章

    2129

    瀏覽量

    119652
  • 內存
    +關注

    關注

    8

    文章

    2790

    瀏覽量

    72964
收藏 人收藏

    評論

    相關推薦

    Xilinx FPGA IPBlock Memory Generator仿真

    上文對BMG ip的基本情況進行了簡單的描述,本文通過例化仿真來實際使用功能一下這個IP。
    的頭像 發表于 11-14 18:24 ?911次閱讀
    <b class='flag-5'>Xilinx</b> <b class='flag-5'>FPGA</b> <b class='flag-5'>IP</b>之<b class='flag-5'>Block</b> <b class='flag-5'>Memory</b> <b class='flag-5'>Generator</b>仿真

    Xilinx FPGA IPBlock Memory Generator AXI接口說明

    之前的文章對Block Memory Generator的原生接口做了說明和仿真,本文對AXI接口進行說明。
    的頭像 發表于 11-14 18:25 ?933次閱讀
    <b class='flag-5'>Xilinx</b> <b class='flag-5'>FPGA</b> <b class='flag-5'>IP</b>之<b class='flag-5'>Block</b> <b class='flag-5'>Memory</b> <b class='flag-5'>Generator</b> AXI接口說明

    Distributed Memory Generator IP核簡介

    Distributed Memory Generator IP 核采用 LUT RAM 資源創建各種不同的存儲器結構。IP可用來創建只讀存儲器 (ROM)、單端口隨機存取存儲器 (RA
    的頭像 發表于 11-17 17:00 ?1111次閱讀
    Distributed <b class='flag-5'>Memory</b> <b class='flag-5'>Generator</b> <b class='flag-5'>IP</b>核簡介

    FPGA設計高級技巧Xilinx

    FPGA設計高級技巧Xilinx篇目錄414.3 減少關鍵路徑的邏輯級數.............................................404.2IF語句和Case語句速度
    發表于 08-11 11:43

    Xilinx FPGA無痛入門,海量教程免費下載

    發生器之功能概述Lesson75 特權Xilinx FPGA SF-SP6入門指南 -- 波形發生器I
    發表于 07-22 11:49

    Xilinx FPGA入門連載38:SRAM讀寫測試設計概述

    `Xilinx FPGA入門連載40:SRAM讀寫測試設計概述特權同學,版權所有配套例程和更多資料下載鏈接:http://pan.baidu.com/s/1jGjAhEm 1
    發表于 12-18 12:57

    Xilinx FPGA入門連載43:FPGA片內ROM實例之功能概述

    Xilinx FPGA入門連載43:FPGA片內ROM實例之功能概述特權同學,版權所有配套例程和更多資料下載鏈接:http://pan.ba
    發表于 01-06 12:22

    Xilinx FPGA入門連載44:FPGA片內ROM實例ROM配置

    `Xilinx FPGA入門連載44:FPGA片內ROM實例ROM配置特權同學,版權所有配套例程和更多資料下載鏈接:http://pan.baidu.com/s/1jGjAhEm 1
    發表于 01-08 13:12

    Xilinx FPGA入門連載47:FPGA片內RAM實例之功能概述

    Xilinx FPGA入門連載47:FPGA片內RAM實例之功能概述特權同學,版權所有配套例程和更多資料下載鏈接:http://pan.ba
    發表于 01-20 12:28

    Xilinx FPGA入門連載48:FPGA片內RAM實例RAM配置

    `Xilinx FPGA入門連載48:FPGA片內RAM實例RAM配置特權同學,版權所有配套例程和更多資料下載鏈接:http://pan.baidu.com/s/1jGjAhEm 1
    發表于 01-22 13:39

    Xilinx FPGA入門連載51:FPGA片內FIFO實例之功能概述

    Xilinx FPGA入門連載51:FPGA片內FIFO實例之功能概述特權同學,版權所有配套例程和更多資料下載鏈接:http://pan.b
    發表于 02-26 10:26

    Xilinx FPGA片內ROM實例ROM配置

    Xilinx FPGA入門連載44:FPGA片內ROM實例ROM配置特權同學,版權所有配套例程和更多資料下載鏈接:http://pan.baidu.com/s/1jGjAhEm 1
    發表于 01-09 16:02

    創建Block RAM IP不起作用

    在我的一個FPGA類中,我被要求使用coregen創建一個blcok ram(8dx16w),單端口ram IP。我在Windows XP,Service Pack 3上使用Xilinx ISE
    發表于 06-05 13:39

    xilinx 7系列FPGA里面的Block RAM

    些大材小用,因此xilinx公司在其FPGA內部專門集成了很多存儲器模塊,稱作Block RAM,其猶如slice海洋當中的一顆顆明珠,專門實現數據暫存功能,且每個時鐘區域都布置了若干
    的頭像 發表于 11-23 14:08 ?7558次閱讀
    <b class='flag-5'>xilinx</b> 7系列<b class='flag-5'>FPGA</b>里面的<b class='flag-5'>Block</b> RAM

    XILINX FPGA IP之FIFO Generator例化仿真

    上文XILINX FPGA IP之FIFO對XILINX FIFO Generator IP的特
    的頭像 發表于 09-07 18:31 ?1079次閱讀
    <b class='flag-5'>XILINX</b> <b class='flag-5'>FPGA</b> <b class='flag-5'>IP</b>之FIFO <b class='flag-5'>Generator</b>例化仿真
    亚洲欧美日韩精品久久_久久精品AⅤ无码中文_日本中文字幕有码在线播放_亚洲视频高清不卡在线观看
    <acronym id="s8ci2"><small id="s8ci2"></small></acronym>
    <rt id="s8ci2"></rt><rt id="s8ci2"><optgroup id="s8ci2"></optgroup></rt>
    <acronym id="s8ci2"></acronym>
    <acronym id="s8ci2"><center id="s8ci2"></center></acronym>